#$ TOOL CUPL # Berkeley PLA format generated using CUPL(WM) 5.0a # Serial# 60008009 # Created Thu Nov 22 17:48:22 2018 # # Name M1703C # Partno cpld # Revision 01 # Date 11/22/2018 # Designer # Company # Assembly None # Location E1 # #$ TITLE M1703C #$ PROPERTY atmel open_collector = c0_low #$ PROPERTY atmel open_collector = c1_low #$ PROPERTY atmel open_collector = data0_low #$ PROPERTY atmel open_collector = data10_low #$ PROPERTY atmel open_collector = data11_low #$ PROPERTY atmel open_collector = data1_low #$ PROPERTY atmel open_collector = data2_low #$ PROPERTY atmel open_collector = data3_low #$ PROPERTY atmel open_collector = data4_low #$ PROPERTY atmel open_collector = data5_low #$ PROPERTY atmel open_collector = data6_low #$ PROPERTY atmel open_collector = data7_low #$ PROPERTY atmel open_collector = data8_low #$ PROPERTY atmel open_collector = data9_low #$ PROPERTY atmel open_collector = int_rqst_low #$ PROPERTY atmel open_collector = internal_io_low #$ PROPERTY atmel open_collector = skip_low #$ MODULE M1703C #$ JEDECFILE M1703C #$ DEVICE f1508isptqfp100 #$ PINS 60 c0_low+ c1_low+ d00+ d01+ d02+ d03+ d04+ d05+ d06+ d07+ d08+ d09+ d10+ d11+ data0_low+ data10_low+ data11_low+ data1_low+ data2_low+ data3_low+ data4_low+ data5_low+ data6_low+ data7_low+ data8_low+ data9_low+ initialize+ int_rqst_low+ internal_io_low+ io_pause_low+ md03_low+ md03h+ md03l+ md04_low+ md04h+ md04l+ md05_low+ md05h+ md05l+ md06_low+ md06h+ md06l+ md07_low+ md07h+ md07l+ md08_low+ md08h+ md08l+ md09_low+ md10_low+ md11_low+ n_t_31x+ n_t_39x+ n_t_40x+ n_t_41x+ n_t_42x+ n_t_45x+ rd_done_low+ rd_rqst+ skip_low+ #$ NODES 24 device_flag+:101 initialize_low+:102 int_enable+:103 io_pauseh+:104 iot0l+:105 iot1l+:106 iot2l+:107 iot3l+:108 iot4l+:109 iotl+:110 md09h+:111 md10h+:112 md11h+:113 n_t_10x+:114 n_t_120x+:115 n_t_13x+:116 n_t_196x+:117 n_t_1x+:118 n_t_24x+:119 n_t_26x+:120 n_t_27x+:121 n_t_337x+:122 n_t_3x+:123 n_t_8x+:124 .i 60 .o 71 .type f .ilb d00 d01 d02 d03 d04 d05 d06 d07 d08 d09 d10 d11 device_flag initialize initialize_low int_enable io_pause_low io_pauseh iot0l iot1l iot2l iot3l iot4l iotl md03_low md03h md04_low md04h md05_low md05h md06_low md06h md07_low md07h md08_low md08h md09_low md09h md10_low md10h md11_low md11h n_t_10x n_t_120x n_t_13x n_t_196x n_t_1x n_t_24x n_t_26x n_t_27x n_t_31x n_t_337x n_t_39x n_t_3x n_t_40x n_t_41x n_t_42x n_t_45x n_t_8x rd_rqst .ob c0_low c0_low.OE c1_low c1_low.OE data0_low data0_low.OE data10_low data10_low.OE data11_low data11_low.OE data1_low data1_low.OE data2_low data2_low.OE data3_low data3_low.OE data4_low data4_low.OE data5_low data5_low.OE data6_low data6_low.OE data7_low data7_low.OE data8_low data8_low.OE data9_low data9_low.OE device_flag- initialize_low- int_enable- int_rqst_low int_rqst_low.OE internal_io_low internal_io_low.OE io_pauseh- iot0l iot1l iot2l iot3l iot4l iotl- md03h- md03l- md04h- md04l- md05h- md05l- md06h- md06l- md07h- md07l- md08h- md08l- md09h- md10h- md11h- n_t_10x- n_t_120x- n_t_13x- n_t_196x- n_t_1x- n_t_24x- n_t_26x- n_t_27x- n_t_337x- n_t_3x- n_t_8x- rd_done_low- skip_low skip_low.OE .phase 11111111111111111111111111111111111111111111111111111111111111111111111 .p 71 ---------------------------------------------------0-------- 10100000000000000000000000000000000000000000000000000000000000000000000 ---------------------------------------------------1-------- 01010000000000000000000000000000000000000000000000000000000000000000000 ----------------------------------------------0------------- 00001000001010101010000000000000000000000000000000000000000000000000000 0----------------------------------------------------------- 00001000000000000000000000000000000000000000000000000000000000000000000 1---------------------------------------------1------------- 00000100000000000000000000000000000000000000000000000000000000000000000 -----------------------------------------------------0------ 00000010100000000000101010100000000000000000000000000000000000000000000 ----------0------------------------------------------------- 00000010000000000000000000000000000000000000000000000000000000000000000 ----------1------------------------------------------1------ 00000001000000000000000000000000000000000000000000000000000000000000000 -----------0------------------------------------------------ 00000000100000000000000000000000000000000000000000000000000000000000000 -----------1-----------------------------------------1------ 00000000010000000000000000000000000000000000000000000000000000000000000 -0---------------------------------------------------------- 00000000001000000000000000000000000000000000000000000000000000000000000 -1--------------------------------------------1------------- 00000000000100000000000000000000000000000000000000000000000000000000000 --0--------------------------------------------------------- 00000000000010000000000000000000000000000000000000000000000000000000000 --1-------------------------------------------1------------- 00000000000001000000000000000000000000000000000000000000000000000000000 ---0-------------------------------------------------------- 00000000000000100000000000000000000000000000000000000000000000000000000 ---1------------------------------------------1------------- 00000000000000010000000000000000000000000000000000000000000000000000000 ----0------------------------------------------------------- 00000000000000001000000000000000000000000000000000000000000000000000000 ----1-----------------------------------------1------------- 00000000000000000100000000000000000000000000000000000000000000000000000 -----0------------------------------------------------------ 00000000000000000010000000000000000000000000000000000000000000000000000 -----1----------------------------------------1------------- 00000000000000000001000000000000000000000000000000000000000000000000000 ------0----------------------------------------------------- 00000000000000000000100000000000000000000000000000000000000000000000000 ------1----------------------------------------------1------ 00000000000000000000010000000000000000000000000000000000000000000000000 -------0---------------------------------------------------- 00000000000000000000001000000000000000000000000000000000000000000000000 -------1---------------------------------------------1------ 00000000000000000000000100000000000000000000000000000000000000000000000 --------0--------------------------------------------------- 00000000000000000000000010000000000000000000000000000000000000000000000 --------1--------------------------------------------1------ 00000000000000000000000001000000000000000000000000000000000000000000000 ---------0-------------------------------------------------- 00000000000000000000000000100000000000000000000000000000000000000000000 ---------1-------------------------------------------1------ 00000000000000000000000000010000000000000000000000000000000000000000000 -----------------------------------------------1-1---------- 00000000000000000000000000001000000000000000000000000000000000000000000 -------------1---------------------------------------------- 00000000000000000000000000000100000000000000000000000000000000000000000 -------------------1-----------------------1---------------- 00000000000000000000000000000010000000000000000000000000000000000000000 -------------------------------------------------0---------- 00000000000000000000000000000001000000000000000000000000000000000000000 ---------------0-------------------------------------------- 00000000000000000000000000000001000000000000000000000000000000000000000 ---------------1---------------------------------1---------- 00000000000000000000000000000000100000000000000000000000000000000000000 ---------------------------------------------0-------------- 00000000000000000000000000000000010000000000000000000000000000000000000 ---------------------------------------------1-------------- 00000000000000000000000000000000001000000000000000000000000000000000000 ----------------1------------------------------------------- 00000000000000000000000000000000000100000000000000000000000000000000000 -----------------------------------------1------------------ 00000000000000000000000000000000000010101000000000000000000000000000000 ---------------------------------------1-------------------- 00000000000000000000000000000000000011001000000000000000000000000000000 -------------------------------------1---------------------- 00000000000000000000000000000000000011110000000000000000000000000000000 -----------------------1------------------------------------ 00000000000000000000000000000000000011111000000000000000000010000000000 -----------------------------------------0------------------ 00000000000000000000000000000000000001010000000000000000000000000000000 ---------------------------------------0-------------------- 00000000000000000000000000000000000000110000000000000000000000000000000 -------------------------------------0---------------------- 00000000000000000000000000000000000000001000000000000000000000000000000 -----------------1--------------------------------1-1-1111-- 00000000000000000000000000000000000000000100000000000000000000000000000 ------------------------1----------------------------------- 00000000000000000000000000000000000000000010000000000000000000000000000 -------------------------1---------------------------------- 00000000000000000000000000000000000000000001000000000000000000000000000 --------------------------1--------------------------------- 00000000000000000000000000000000000000000000100000000000000000000000000 ---------------------------1-------------------------------- 00000000000000000000000000000000000000000000010000000000000000000000000 ----------------------------1------------------------------- 00000000000000000000000000000000000000000000001000000000000000000000000 -----------------------------1------------------------------ 00000000000000000000000000000000000000000000000100000000000000000000000 ------------------------------1----------------------------- 00000000000000000000000000000000000000000000000010000000000000000000000 -------------------------------1---------------------------- 00000000000000000000000000000000000000000000000001000000000000000000000 --------------------------------1--------------------------- 00000000000000000000000000000000000000000000000000100000000000000000000 ---------------------------------1-------------------------- 00000000000000000000000000000000000000000000000000010000000000000000000 ----------------------------------1------------------------- 00000000000000000000000000000000000000000000000000001000000000000000000 -----------------------------------1------------------------ 00000000000000000000000000000000000000000000000000000100000000000000000 ------------------------------------1----------------------- 00000000000000000000000000000000000000000000000000000010000000000000000 --------------------------------------1--------------------- 00000000000000000000000000000000000000000000000000000001000000000000000 ----------------------------------------1------------------- 00000000000000000000000000000000000000000000000000000000100000000000000 ---------------------1-------------------------------------- 00000000000000000000000000000000000000000000000000000000010000000000000 ---------------1------------------------------------------1- 00000000000000000000000000000000000000000000000000000000001000000000000 --------------1---1-1--------------------------------------- 00000000000000000000000000000000000000000000000000000000000100000000000 ----------------------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000001000110000 ------------------------------------------------1----------- 00000000000000000000000000000000000000000000000000000000000000100000000 --------------1-----1-1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000010000000 ------------1----------------------------------------------1 00000000000000000000000000000000000000000000000000000000000000001000000 --------------------------------------------1--------------- 00000000000000000000000000000000000000000000000000000000000000000001000 ------------1---------1------------------------------------- 00000000000000000000000000000000000000000000000000000000000000000000100 ------------------------------------------0----------------- 00000000000000000000000000000000000000000000000000000000000000000000010 ------------------------------------------1----------------- 00000000000000000000000000000000000000000000000000000000000000000000001 .e