JEDEC file for: ATF1504AS Created on: Sun Dec 09 17:09:49 2018 * QF34192* QP84 * F0* NOTE 0 0 0 0 0 * L0 1111111111111111 1111111111111111111111111111111111111111 1111111111100111111111111111111111111111* NOTE PT 5 of MC 16 * L96 1111111111111111 1111111111111111111111111111111111111111 1101111111111101111111111111111111111111* NOTE PT 4 of MC 16 * L192 1111111111111111 1111111111111111111111111101111111011111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 16 * L288 1111111111111111 1111110111111111111111011111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 16 * L384 1111111111111111 1111111101111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 16 * L480 1111111111111111 1111011101111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 15 * L576 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111011111111111* NOTE PT 2 of MC 15 * L672 1111111111111111 1111111111111111111111111101111111111111 1111110111111111111111111111111111111111* NOTE PT 3 of MC 15 * L768 1111111111111111 1111111111011111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 4 of MC 15 * L864 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111011111111111111111111* NOTE PT 5 of MC 15 * L960 1111111111111111 1111111111111111111111111111111111111101 1111111111111111111111111101111111111111* NOTE PT 5 of MC 14 * L1056 1111111111111111 1111111111110111111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 14 * L1152 1111111111111111 0111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 3 of MC 14 * L1248 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111101111111111111111111* NOTE PT 2 of MC 14 * L1344 1111111111111111 1111111111111110111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 14 * L8160 1111111111111111 1111111111101111111111111111111111111110 1111111111111101111111101111111111111111* NOTE PT 1 of MC 31 * L8256 1111111111111111 1111111111111111111111111111111111111110 1111111111111110011111011111111111111111* NOTE PT 2 of MC 31 * L8352 1111111111111111 1111111111101111111111111111111111111110 1111111111111110111111011111111111111111* NOTE PT 3 of MC 31 * L8448 1111111111111111 1111111111111111111111111111111111111101 1111111111111110101111101111111111111111* NOTE PT 4 of MC 31 * L8544 1111111111111111 1111111111011111111111111111111111111101 1111111111111110111111101111111111111111* NOTE PT 5 of MC 31 * L8640 1111111111111111 1111111111111111111111111111111111111110 1111111111111101011111101111111111111111* NOTE PT 5 of MC 30 * L8736 1111111111111111 1111111111011111111111111111111111111111 1111111111111101101111011111111111111111* NOTE PT 4 of MC 30 * L8832 1111111111111111 1111111111101111111111111111111111111111 1111111111111110011111011111111111111111* NOTE PT 3 of MC 30 * L8928 1111111111111111 1111111111011111111111111111111111111111 1111111111111110101111101111111111111111* NOTE PT 2 of MC 30 * L9024 1111111111111111 1111111111101111111111111111111111111111 1111111111111101011111101111111111111111* NOTE PT 1 of MC 30 * L9120 1111111111111111 1111111111101111111111111111111111111111 1111111111111111011111101111111111111111* NOTE PT 1 of MC 29 * L9216 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111101111111111111111* NOTE PT 2 of MC 29 * L9312 1111111111111111 1111111111101111111111111111111111111110 1111111111111111111111101111111111111111* NOTE PT 3 of MC 29 * L9408 1111111111111111 1111111111101111111111111111111111111110 1111111111111110111111111111111111111111* NOTE PT 4 of MC 29 * L9504 1111111111111111 1111111111111111111111111111111111111110 1111111111111111011111101111111111111111* NOTE PT 5 of MC 29 * L9792 1111111111111111 1111111111111111111111011111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 28 * L9888 1111111111111111 1111111111101111111111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 2 of MC 28 * L9984 1111111111111111 1111111111111111111111111111111111111110 1111111111111110011111111111111111111111* NOTE PT 1 of MC 28 * L10080 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111111011111111111111* NOTE PT 1 of MC 27 * L10176 1111111111111111 1111011111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 2 of MC 27 * L10272 1111111111111111 1111111101111111111111111111111111111111 1111111111111111111111111111111101111111* NOTE PT 3 of MC 27 * L10368 1111111111111111 1111111111110111111111111111111101111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 27 * L10464 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101011111111111* NOTE PT 5 of MC 27 * L10656 1111111111111111 0111111111111111111101111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 26 * L10752 1111111111111111 1111111111111111011111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 26 * L10848 1111111111111111 1111111111111111111111110111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 26 * L10944 1111111111111111 1101111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 1 of MC 26 * L15840 1111111111111111 1111111111111101111111111111111111111111 1111111111011111101111111111110111111111* NOTE PT 1 of MC 63 * L15936 1111111111111111 1111111111111101111111111111110111111111 1111111111011111111111111111110111111111* NOTE PT 2 of MC 63 * L16032 1111111111111111 1111111111111111111111011111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 63 * L16128 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 63 * L17376 1111111111111111 1111111111111101111111111111110111111111 1111111111111111011111111111111111111111* NOTE PT 4 of MC 60 * L17472 1111111111111111 1111111111111110111111111111110111111111 1111111111111111101111111111111111111111* NOTE PT 3 of MC 60 * L17568 1111111111111111 1111111111111101111111111111111011111111 1111111111111111101111111111111111111111* NOTE PT 2 of MC 60 * L17664 1111111111111111 1111111111111110111111111111111011111111 1111111111111111011111111111111111111111* NOTE PT 1 of MC 60 * L19680 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111110111111111111111* NOTE PT 1 of MC 55 * L19776 1111111111111111 1011111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 2 of MC 55 * L19872 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 3 of MC 55 * L20352 1111111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 3 of MC 54 * L20448 1111111111111111 1011011111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 54 * L20544 1111111111111111 1111111111111111111111111111111111011111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 54 * L21216 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 4 of MC 52 * L21504 1111111111111111 1111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 1 of MC 52 * L21600 1111111111111111 1111111111111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 51 * L22176 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 4 of MC 50 * L22464 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 1 of MC 50 * L22560 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 49 * L23136 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111101111111111111111111* NOTE PT 4 of MC 48 * L23232 1111111111111111 1111011111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 48 * L23328 1111111111111111 1101111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 2 of MC 48 * L23424 1111111111111111 1111111111111111111101111111111111111111 1111111111111111111111110111111111111111* NOTE PT 1 of MC 48 * L23520 1111111111111111 1111111111111111111111111101111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 47 * L23616 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 2 of MC 47 * L23712 1111111111111111 1111111111111111011111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 3 of MC 47 * L23808 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 4 of MC 47 * L24096 1111111111111111 1111111111110111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 46 * L24384 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 1 of MC 46 * L24480 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 45 * L25056 1111111111111111 1111111111110111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 44 * L25344 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 1 of MC 44 * L25440 1111111111111111 1111111111111111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 1 of MC 43 * L26112 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111101* NOTE PT 3 of MC 42 * L26208 1111111111111111 1111110111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 42 * L26304 1111111111111111 1111111111111111111111111101111111111111 1111111111111111110111111111111111111111* NOTE PT 1 of MC 42 * L26400 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 1 of MC 41 * L26688 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 4 of MC 41 * L27264 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111101111111111* NOTE PT 1 of MC 40 * L27360 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 1 of MC 39 * L27648 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 4 of MC 39 * L28224 1111111111111111 1111111111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 38 * L29184 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 1 of MC 36 * L29280 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 1 of MC 35 * L29568 1111111111111111 1111111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 35 * L29856 1111111111111111 1111111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 34 * L30144 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 1 of MC 34 * L30240 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111011111111111111111111* NOTE PT 1 of MC 33 * NOTE macrocell configurations 0 0 0 0 * L30720 1111111111111111* L30736 11111111111111001111111111000000* NOTE S16,S12 of block A * L30768 00000001100110010001100110011001* NOTE S14,S11 of block A * L30800 1111111111111111* L30816 11111111111111111100001000000000* NOTE S16,S12 of block B * L30848 00000000100010011001100110011001* NOTE S14,S11 of block B * L30880 1111111111111111* L30896 01100110011001000110011001000000* NOTE S9 ,S6 of block A * L30928 00000000000000000000000000000000* NOTE S13,S10 of block A * L30960 1111111111111111* L30976 01100110011001100100000000000000* NOTE S9 ,S6 of block B * L31008 00000000000000000000000000000000* NOTE S13,S10 of block B * L31040 1111111111111111* L31056 01100110011001100110011001100110* NOTE S20,S18 of block A * L31088 00000010011001100110011001100110* NOTE S8 ,S21 of block A * L31120 1111111111111111* L31136 01100110011001100110011001100110* NOTE S20,S18 of block B * L31168 01000000000000100110011001100110* NOTE S8 ,S21 of block B * L31200 1111111111111111* L31216 00000000000000000000000000000000* NOTE S7 ,S19 of block A * L31248 11111111111111111111111111111111* NOTE S22,S5 of block A * L31280 1111111111111111* L31296 00000000000000000000000000000000* NOTE S7 ,S19 of block B * L31328 11111111111111111111111111111111* NOTE S22,S5 of block B * L31360 1111111111111111* L31376 11111111111111101111111111111111* NOTE S23,S4 of block A * L31408 11111111111111111111111111111111* NOTE S3 ,S15 of block A * L31440 1111111111111111* L31456 11111111111111111111111111111110* NOTE S23,S4 of block B * L31488 11111111111111111111111111111111* NOTE S3 ,S15 of block B * L31520 1111111111111111* L31536 00000000000000000000000000000000* NOTE S0 ,S1 of block A * L31568 00000000000000001000000000000000* NOTE S17,S2 of block A * L31600 1111111111111111* L31616 00000000000000000000001100000000* NOTE S0 ,S1 of block B * L31648 10000000110000000000000000000000* NOTE S17,S2 of block B * L31680 1111111111111111* L31696 11111111111001111111110011110011* NOTE S16,S12 of block D * L31728 10111001100110011011110110011001* NOTE S14,S11 of block D * L31760 1111111111111111* L31776 11111111111111111110111111110000* NOTE S16,S12 of block C * L31808 10011001100110011001100110011001* NOTE S14,S11 of block C * L31840 1111111111111111* L31856 00000000010000100110010001100010* NOTE S9 ,S6 of block D * L31888 00000000000000000000000000000000* NOTE S13,S10 of block D * L31920 1111111111111111* L31936 00000000010000000000000000000000* NOTE S9 ,S6 of block C * L31968 00000000000000000000000000000000* NOTE S13,S10 of block C * L32000 1111111111111111* L32016 01000100011001100110011001100110* NOTE S20,S18 of block D * L32048 01000110001001100100001000000000* NOTE S8 ,S21 of block D * L32080 1111111111111111* L32096 01000010011000100010010001000110* NOTE S20,S18 of block C * L32128 00000000000000000000001000000000* NOTE S8 ,S21 of block C * L32160 1111111111111111* L32176 00000000000000000000000000000000* NOTE S7 ,S19 of block D * L32208 11111111111111111111111111111111* NOTE S22,S5 of block D * L32240 1111111111111111* L32256 00000000000000000000000000000000* NOTE S7 ,S19 of block C * L32288 11111111111111111111111111111111* NOTE S22,S5 of block C * L32320 1111111111111111* L32336 11111111111111111111111111111111* NOTE S23,S4 of block D * L32368 11111111111111111111111101110111* NOTE S3 ,S15 of block D * L32400 1111111111111111* L32416 11111111111111111111111111111111* NOTE S23,S4 of block C * L32448 11110111011111101110111111100111* NOTE S3 ,S15 of block C * L32480 1111111111111111* L32496 11111111001111000000001100001100* NOTE S0 ,S1 of block D * L32528 00110000110000000011110011111111* NOTE S17,S2 of block D * L32560 1111111111111111* L32576 11111111001111111100111111110000* NOTE S0 ,S1 of block C * L32608 00001111111100111111110011111111* NOTE S17,S2 of block C * NOTE UIM for block A and D * NOTE 0 0 0* L32640 111111101* NOTE Mux-0 of block A* L32649 110111111* NOTE Mux-1 of block D* L32658 111111011* NOTE Mux-0 of block D* L32667 111111111* NOTE Mux-1 of block A* L32676 110111111* NOTE Mux-2 of block A* L32685 111110111* NOTE Mux-3 of block D* L32694 111111011* NOTE Mux-2 of block D* L32703 011111111* NOTE Mux-3 of block A* L32712 111101111* NOTE Mux-4 of block A* L32721 111111111* NOTE Mux-5 of block D* L32730 111111111* NOTE Mux-4 of block D* L32739 111111011* NOTE Mux-5 of block A* L32748 111111011* NOTE Mux-6 of block A* L32757 111011111* NOTE Mux-7 of block D* L32766 111111111* NOTE Mux-6 of block D* L32775 111101111* NOTE Mux-7 of block A* L32784 111111111* NOTE Mux-8 of block A* L32793 111111111* NOTE Mux-9 of block D* L32802 111111111* NOTE Mux-8 of block D* L32811 111111111* NOTE Mux-9 of block A* L32820 111111111* NOTE Mux-10 of block A* L32829 111101111* NOTE Mux-11 of block D* L32838 111111111* NOTE Mux-10 of block D* L32847 111111011* NOTE Mux-11 of block A* L32856 111111110* NOTE Mux-12 of block A* L32865 011111111* NOTE Mux-13 of block D* L32874 111111111* NOTE Mux-12 of block D* L32883 111111011* NOTE Mux-13 of block A* L32892 011111111* NOTE Mux-14 of block A* L32901 111011111* NOTE Mux-15 of block D* L32910 111111111* NOTE Mux-14 of block D* L32919 011111111* NOTE Mux-15 of block A* L32928 111111111* NOTE Mux-16 of block A* L32937 111111110* NOTE Mux-17 of block D* L32946 111111111* NOTE Mux-16 of block D* L32955 011111111* NOTE Mux-17 of block A* L32964 111111111* NOTE Mux-18 of block A* L32973 111111111* NOTE Mux-19 of block D* L32982 111111111* NOTE Mux-18 of block D* L32991 111111110* NOTE Mux-19 of block A* L33000 111111111* NOTE Mux-20 of block A* L33009 110111111* NOTE Mux-21 of block D* L33018 111111101* NOTE Mux-20 of block D* L33027 111111011* NOTE Mux-21 of block A* L33036 111111111* NOTE Mux-22 of block A* L33045 111110111* NOTE Mux-23 of block D* L33054 111111111* NOTE Mux-22 of block D* L33063 011111111* NOTE Mux-23 of block A* L33072 011111111* NOTE Mux-24 of block A* L33081 111011111* NOTE Mux-25 of block D* L33090 111111111* NOTE Mux-24 of block D* L33099 111101111* NOTE Mux-25 of block A* L33108 111101111* NOTE Mux-26 of block A* L33117 111111110* NOTE Mux-27 of block D* L33126 111111111* NOTE Mux-26 of block D* L33135 111111101* NOTE Mux-27 of block A* L33144 011111111* NOTE Mux-28 of block A* L33153 110111111* NOTE Mux-29 of block D* L33162 111101111* NOTE Mux-28 of block D* L33171 111101111* NOTE Mux-29 of block A* L33180 111111011* NOTE Mux-30 of block A* L33189 110111111* NOTE Mux-31 of block D* L33198 111111111* NOTE Mux-30 of block D* L33207 111111111* NOTE Mux-31 of block A* L33216 111111111* NOTE Mux-32 of block A* L33225 011111111* NOTE Mux-33 of block D* L33234 111111110* NOTE Mux-32 of block D* L33243 111111110* NOTE Mux-33 of block A* L33252 110111111* NOTE Mux-34 of block A* L33261 111110111* NOTE Mux-35 of block D* L33270 111111111* NOTE Mux-34 of block D* L33279 111111111* NOTE Mux-35 of block A* L33288 111111111* NOTE Mux-36 of block A* L33297 111111111* NOTE Mux-37 of block D* L33306 111111111* NOTE Mux-36 of block D* L33315 111111111* NOTE Mux-37 of block A* L33324 111111111* NOTE Mux-38 of block A* L33333 111111111* NOTE Mux-39 of block D* L33342 111111111* NOTE Mux-38 of block D* L33351 111111111* NOTE Mux-39 of block A* NOTE UIM for block B and C * NOTE 0 0 0* L33360 110111111* NOTE Mux-0 of block B* L33369 011111111* NOTE Mux-1 of block C* L33378 111111111* NOTE Mux-0 of block C* L33387 110111111* NOTE Mux-1 of block B* L33396 111111011* NOTE Mux-2 of block B* L33405 111111011* NOTE Mux-3 of block C* L33414 011111111* NOTE Mux-2 of block C* L33423 111111111* NOTE Mux-3 of block B* L33432 011111111* NOTE Mux-4 of block B* L33441 111101111* NOTE Mux-5 of block C* L33450 111111111* NOTE Mux-4 of block C* L33459 110111111* NOTE Mux-5 of block B* L33468 111111011* NOTE Mux-6 of block B* L33477 111111111* NOTE Mux-7 of block C* L33486 111111101* NOTE Mux-6 of block C* L33495 111111111* NOTE Mux-7 of block B* L33504 110111111* NOTE Mux-8 of block B* L33513 111111111* NOTE Mux-9 of block C* L33522 111101111* NOTE Mux-8 of block C* L33531 111111111* NOTE Mux-9 of block B* L33540 110111111* NOTE Mux-10 of block B* L33549 111111111* NOTE Mux-11 of block C* L33558 110111111* NOTE Mux-10 of block C* L33567 111110111* NOTE Mux-11 of block B* L33576 011111111* NOTE Mux-12 of block B* L33585 111101111* NOTE Mux-13 of block C* L33594 110111111* NOTE Mux-12 of block C* L33603 111111111* NOTE Mux-13 of block B* L33612 111111111* NOTE Mux-14 of block B* L33621 111111111* NOTE Mux-15 of block C* L33630 111111111* NOTE Mux-14 of block C* L33639 111111111* NOTE Mux-15 of block B* L33648 011111111* NOTE Mux-16 of block B* L33657 111101111* NOTE Mux-17 of block C* L33666 111111111* NOTE Mux-16 of block C* L33675 111111111* NOTE Mux-17 of block B* L33684 111111110* NOTE Mux-18 of block B* L33693 111111111* NOTE Mux-19 of block C* L33702 111111111* NOTE Mux-18 of block C* L33711 110111111* NOTE Mux-19 of block B* L33720 011111111* NOTE Mux-20 of block B* L33729 111111101* NOTE Mux-21 of block C* L33738 110111111* NOTE Mux-20 of block C* L33747 111111111* NOTE Mux-21 of block B* L33756 111111111* NOTE Mux-22 of block B* L33765 111111111* NOTE Mux-23 of block C* L33774 011111111* NOTE Mux-22 of block C* L33783 111111111* NOTE Mux-23 of block B* L33792 111111011* NOTE Mux-24 of block B* L33801 111101111* NOTE Mux-25 of block C* L33810 111111011* NOTE Mux-24 of block C* L33819 111111111* NOTE Mux-25 of block B* L33828 111111011* NOTE Mux-26 of block B* L33837 110111111* NOTE Mux-27 of block C* L33846 111111111* NOTE Mux-26 of block C* L33855 111110111* NOTE Mux-27 of block B* L33864 111101111* NOTE Mux-28 of block B* L33873 111101111* NOTE Mux-29 of block C* L33882 111111111* NOTE Mux-28 of block C* L33891 111111111* NOTE Mux-29 of block B* L33900 111111111* NOTE Mux-30 of block B* L33909 111111011* NOTE Mux-31 of block C* L33918 110111111* NOTE Mux-30 of block C* L33927 111011111* NOTE Mux-31 of block B* L33936 111101111* NOTE Mux-32 of block B* L33945 110111111* NOTE Mux-33 of block C* L33954 110111111* NOTE Mux-32 of block C* L33963 111111110* NOTE Mux-33 of block B* L33972 011111111* NOTE Mux-34 of block B* L33981 111101111* NOTE Mux-35 of block C* L33990 111101111* NOTE Mux-34 of block C* L33999 011111111* NOTE Mux-35 of block B* L34008 011111111* NOTE Mux-36 of block B* L34017 111111011* NOTE Mux-37 of block C* L34026 111111111* NOTE Mux-36 of block C* L34035 111111111* NOTE Mux-37 of block B* L34044 111111111* NOTE Mux-38 of block B* L34053 111111011* NOTE Mux-39 of block C* L34062 111111111* NOTE Mux-38 of block C* L34071 111111111* NOTE Mux-39 of block B* NOTE 6 global OE 0 0 0* L34080 111111111 111111111 111111111 111111111 111111111 111111111 * NOTE device configuration bits* NOTE 0 0 0* L34134 00111111111011010000000111111111* NOTE Special Purpose Bits (JTAG) * L34166 1011* NOTE UES bits* L34170 1111111111111111* NOTE Reserved bits * L34186 000000* C5D2B* 0000