#$ TOOL CUPL # Berkeley PLA format generated using CUPL(WM) 5.0a # Serial# 60008009 # Created Sun Dec 09 17:09:48 2018 # # Name M220B # Partno cpld # Revision 01 # Date 11/11/2015 # Designer # Company # Assembly None # Location E1 # #$ TITLE M220B #$ MODULE M220B #$ JEDECFILE M220B #$ DEVICE f1504plcc84 #$ PINS 66 ab2+:62 ac2_h+:45 ac2_l+:44 ac3_h+:46 ac3_l+:48 ac_enabl+:33 ac_low_enabl+:30 adder0+:1 adder1+:84 adder2+:80 adder3+:76 adder4+:75 adder5+:73 aj1+:70 ak1+:68 ak2+:69 an2+:61 and_h+:2 ar1+:55 as1+:52 as2+:54 at2+:51 au1+:49 au2+:50 bb2+:71 be2+:37 br2+:15 bu1+:6 bv1+:4 bv2+:5 c0+:29 c2+:28 da2+:10 da_enabl+:9 data2+:22 data3+:17 data_enabl+:24 io2+:27 io3+:21 io_enabl+:25 ma2_h+:64 ma2_l+:63 ma3_h+:67 ma3_l+:65 ma_enabl+:16 mem2+:12 mem_enabl+:8 mq2_h+:31 mq3_h+:20 mq_enabl+:34 no_shift+:79 pc2_h+:57 pc2_l+:56 pc3_h+:60 pc3_l+:58 pc_enabl+:11 sc2+:39 sc3+:18 sc_enabl+:35 shift_l1+:77 shift_l2+:74 shift_r1+:83 shift_r2+:81 sr2+:36 sr3+:40 sr_enabl+:41 #$ NODES 6 mb2_h+ mb3_h+ n_t_12x+ n_t_14x+ n_t_5x+ n_t_8x+ .i 63 .o 35 .type f .ilb ab2 ac2_h.Q ac2_l ac3_h.Q ac3_l ac_enabl ac_low_enabl adder0 adder1 adder2 adder3 adder4 adder5 aj1 ak1 ak2 an2 and_h ar1 au1 bb2 be2 br2 bu1 bv1 bv2 c0 da2 da_enabl data2 data3 data_enabl io2 io3 io_enabl ma2_h.Q ma3_h.Q ma_enabl mb2_h.Q mb3_h.Q mem2 mem_enabl mq2_h mq3_h mq_enabl n_t_12x n_t_14x n_t_5x n_t_8x no_shift pc2_h.Q pc3_h.Q pc_enabl sc2 sc3 sc_enabl shift_l1 shift_l2 shift_r1 shift_r2 sr2 sr3 sr_enabl .ob ac2_h.REG- ac2_h.C ac2_l- ac3_h.REG- ac3_h.C ac3_l- adder2- adder3- aj1 ak2 as1- as2- at2- au2- c2- ma2_h.REG- ma2_h.C ma2_l- ma3_h.REG- ma3_h.C ma3_l- mb2_h.REG- mb2_h.C mb3_h.REG- mb3_h.C n_t_12x n_t_14x n_t_5x n_t_8x pc2_h.REG- pc2_h.C pc2_l- pc3_h.REG- pc3_h.C pc3_l- .phase 11111111111111111111111111111111111 .p 518 -------------0------------------------------------------------- 10000000000000010000010000000100000 -------------------1------------------------------------------- 01001000000000000000000000000000000 -1------------------------------------------------------------- 00100000000000000000000000000000000 ---------------0----------------------------------------------- 00010000000000000010000100000000100 ---1----------------------------------------------------------- 00000100000000000000000000000000000 ---------------------------------------------0000-------------- 00000010000000000000000000000000000 --------------------------0------------------0-00-------------- 00000010000000000000000000000000000 ---------------------------------------------1010-------------- 00000010000000000000000000000000000 --------------------------0------------------1-10-------------- 00000010000000000000000000000000000 ---------------------------------------------1101-------------- 00000010000000000000000000000000000 --------------------------1------------------1-01-------------- 00000010000000000000000000000000000 ---------------------------------------------0111-------------- 00000010000000000000000000000000000 --------------------------1------------------0-11-------------- 00000010000000000000000000000000000 --------------------------0------------------000--------------- 00000010000000000000000000000000000 --------------------------1------------------110--------------- 00000010000000000000000000000000000 --------------------------0------------------101--------------- 00000010000000000000000000000000000 --------------------------1------------------011--------------- 00000010000000000000000000000000000 --------------------------0-------------------0-0-------------- 00000001000000000000000000000000000 --------------------------1-------------------1-0-------------- 00000001000000000000000000000000000 --------------------------1-------------------0-1-------------- 00000001000000000000000000000000000 --------------------------0-------------------1-1-------------- 00000001000000000000000000000000000 --------------------0-----------------1----------0------0000--- 00000000100000000000000000000000000 1-------------------------------------1----------0------0000--- 00000000100000000000000000000000000 -----------------0--0----------------------------0------0000--- 00000000100000000000000000000000000 1----------------0-------------------------------0------0000--- 00000000110000000000000000000000000 ---------0----------0-----------------1-----------------0000--- 00000000100000000000000000000000000 1--------0----------------------------1-----------------0000--- 00000000100000000000000000000000000 ---------0-------0--0-----------------------------------0000--- 00000000100000000000000000000000000 1--------0-------0--------------------------------------0000--- 00000000100000000000000000000000000 ----------0---------0-----------------1----------0-------000--- 00000000100000000000000000000000000 1---------0---------------------------1----------0-------000--- 00000000100000000000000000000000000 ----------0------0--0----------------------------0-------000--- 00000000100000000000000000000000000 1---------0------0-------------------------------0-------000--- 00000000100000000000000000000000000 ---------00---------0-----------------1------------------000--- 00000000100000000000000000000000000 1--------00---------------------------1------------------000--- 00000000100000000000000000000000000 ---------00------0--0------------------------------------000--- 00000000100000000000000000000000000 1--------00------0---------------------------------------000--- 00000000100000000000000000000000000 -----------0--------0-----------------1----------0------0-00--- 00000000100000000000000000000000000 1----------0--------------------------1----------0------0-00--- 00000000100000000000000000000000000 -----------0-----0--0----------------------------0------0-00--- 00000000100000000000000000000000000 1----------0-----0-------------------------------0------0-00--- 00000000100000000000000000000000000 ---------0-0--------0-----------------1-----------------0-00--- 00000000100000000000000000000000000 1--------0-0--------------------------1-----------------0-00--- 00000000100000000000000000000000000 ---------0-0-----0--0-----------------------------------0-00--- 00000000100000000000000000000000000 1--------0-0-----0--------------------------------------0-00--- 00000000100000000000000000000000000 ----------00--------0-----------------1----------0--------00--- 00000000100000000000000000000000000 1---------00--------------------------1----------0--------00--- 00000000100000000000000000000000000 ----------00-----0--0----------------------------0--------00--- 00000000100000000000000000000000000 1---------00-----0-------------------------------0--------00--- 00000000100000000000000000000000000 ---------000--------0-----------------1-------------------00--- 00000000100000000000000000000000000 1--------000--------------------------1-------------------00--- 00000000100000000000000000000000000 ---------000-----0--0-------------------------------------00--- 00000000100000000000000000000000000 1--------000-----0----------------------------------------00--- 00000000100000000000000000000000000 --------0-----------0-----------------1----------0------00-0--- 00000000100000000000000000000000000 1-------0-----------------------------1----------0------00-0--- 00000000100000000000000000000000000 --------0--------0--0----------------------------0------00-0--- 00000000100000000000000000000000000 1-------0--------0-------------------------------0------00-0--- 00000000100000000000000000000000000 --------00----------0-----------------1-----------------00-0--- 00000000100000000000000000000000000 1-------00----------------------------1-----------------00-0--- 00000000100000000000000000000000000 --------00-------0--0-----------------------------------00-0--- 00000000100000000000000000000000000 1-------00-------0--------------------------------------00-0--- 00000000100000000000000000000000000 --------0-0---------0-----------------1----------0-------0-0--- 00000000100000000000000000000000000 1-------0-0---------------------------1----------0-------0-0--- 00000000100000000000000000000000000 --------0-0------0--0----------------------------0-------0-0--- 00000000100000000000000000000000000 1-------0-0------0-------------------------------0-------0-0--- 00000000100000000000000000000000000 --------000---------0-----------------1------------------0-0--- 00000000100000000000000000000000000 1-------000---------------------------1------------------0-0--- 00000000100000000000000000000000000 --------000------0--0------------------------------------0-0--- 00000000100000000000000000000000000 1-------000------0---------------------------------------0-0--- 00000000100000000000000000000000000 --------0--0--------0-----------------1----------0------0--0--- 00000000100000000000000000000000000 1-------0--0--------------------------1----------0------0--0--- 00000000100000000000000000000000000 --------0--0-----0--0----------------------------0------0--0--- 00000000100000000000000000000000000 1-------0--0-----0-------------------------------0------0--0--- 00000000100000000000000000000000000 --------00-0--------0-----------------1-----------------0--0--- 00000000100000000000000000000000000 1-------00-0--------------------------1-----------------0--0--- 00000000100000000000000000000000000 --------00-0-----0--0-----------------------------------0--0--- 00000000100000000000000000000000000 1-------00-0-----0--------------------------------------0--0--- 00000000100000000000000000000000000 --------0-00--------0-----------------1----------0---------0--- 00000000100000000000000000000000000 1-------0-00--------------------------1----------0---------0--- 00000000100000000000000000000000000 --------0-00-----0--0----------------------------0---------0--- 00000000100000000000000000000000000 1-------0-00-----0-------------------------------0---------0--- 00000000100000000000000000000000000 --------0000--------0-----------------1--------------------0--- 00000000100000000000000000000000000 1-------0000--------------------------1--------------------0--- 00000000100000000000000000000000000 --------0000-----0--0--------------------------------------0--- 00000000100000000000000000000000000 1-------0000-----0-----------------------------------------0--- 00000000100000000000000000000000000 -------0------------0-----------------1----------0------000---- 00000000100000000000000000000000000 1------0------------------------------1----------0------000---- 00000000100000000000000000000000000 -------0---------0--0----------------------------0------000---- 00000000100000000000000000000000000 1------0---------0-------------------------------0------000---- 00000000100000000000000000000000000 -------0-0----------0-----------------1-----------------000---- 00000000100000000000000000000000000 1------0-0----------------------------1-----------------000---- 00000000100000000000000000000000000 -------0-0-------0--0-----------------------------------000---- 00000000100000000000000000000000000 1------0-0-------0--------------------------------------000---- 00000000100000000000000000000000000 -------0--0---------0-----------------1----------0-------00---- 00000000100000000000000000000000000 1------0--0---------------------------1----------0-------00---- 00000000100000000000000000000000000 -------0--0------0--0----------------------------0-------00---- 00000000100000000000000000000000000 1------0--0------0-------------------------------0-------00---- 00000000100000000000000000000000000 -------0-00---------0-----------------1------------------00---- 00000000100000000000000000000000000 1------0-00---------------------------1------------------00---- 00000000100000000000000000000000000 -------0-00------0--0------------------------------------00---- 00000000100000000000000000000000000 1------0-00------0---------------------------------------00---- 00000000100000000000000000000000000 -------0---0--------0-----------------1----------0------0-0---- 00000000100000000000000000000000000 1------0---0--------------------------1----------0------0-0---- 00000000100000000000000000000000000 -------0---0-----0--0----------------------------0------0-0---- 00000000100000000000000000000000000 1------0---0-----0-------------------------------0------0-0---- 00000000100000000000000000000000000 -------0-0-0--------0-----------------1-----------------0-0---- 00000000100000000000000000000000000 1------0-0-0--------------------------1-----------------0-0---- 00000000100000000000000000000000000 -------0-0-0-----0--0-----------------------------------0-0---- 00000000100000000000000000000000000 1------0-0-0-----0--------------------------------------0-0---- 00000000100000000000000000000000000 -------0--00--------0-----------------1----------0--------0---- 00000000100000000000000000000000000 1------0--00--------------------------1----------0--------0---- 00000000100000000000000000000000000 -------0--00-----0--0----------------------------0--------0---- 00000000100000000000000000000000000 1------0--00-----0-------------------------------0--------0---- 00000000100000000000000000000000000 -------0-000--------0-----------------1-------------------0---- 00000000100000000000000000000000000 1------0-000--------------------------1-------------------0---- 00000000100000000000000000000000000 -------0-000-----0--0-------------------------------------0---- 00000000100000000000000000000000000 1------0-000-----0----------------------------------------0---- 00000000100000000000000000000000000 -------00-----------0-----------------1----------0------00----- 00000000100000000000000000000000000 1------00-----------------------------1----------0------00----- 00000000100000000000000000000000000 -------00--------0--0----------------------------0------00----- 00000000100000000000000000000000000 1------00--------0-------------------------------0------00----- 00000000100000000000000000000000000 -------000----------0-----------------1-----------------00----- 00000000100000000000000000000000000 1------000----------------------------1-----------------00----- 00000000100000000000000000000000000 -------000-------0--0-----------------------------------00----- 00000000100000000000000000000000000 1------000-------0--------------------------------------00----- 00000000100000000000000000000000000 -------00-0---------0-----------------1----------0-------0----- 00000000100000000000000000000000000 1------00-0---------------------------1----------0-------0----- 00000000100000000000000000000000000 -------00-0------0--0----------------------------0-------0----- 00000000100000000000000000000000000 1------00-0------0-------------------------------0-------0----- 00000000100000000000000000000000000 -------0000---------0-----------------1------------------0----- 00000000100000000000000000000000000 1------0000---------------------------1------------------0----- 00000000100000000000000000000000000 -------0000------0--0------------------------------------0----- 00000000100000000000000000000000000 1------0000------0---------------------------------------0----- 00000000100000000000000000000000000 -------00--0--------0-----------------1----------0------0------ 00000000100000000000000000000000000 1------00--0--------------------------1----------0------0------ 00000000100000000000000000000000000 -------00--0-----0--0----------------------------0------0------ 00000000100000000000000000000000000 1------00--0-----0-------------------------------0------0------ 00000000100000000000000000000000000 -------000-0--------0-----------------1-----------------0------ 00000000100000000000000000000000000 1------000-0--------------------------1-----------------0------ 00000000100000000000000000000000000 -------000-0-----0--0-----------------------------------0------ 00000000100000000000000000000000000 1------000-0-----0--------------------------------------0------ 00000000100000000000000000000000000 -------00-00--------0-----------------1----------0------------- 00000000100000000000000000000000000 1------00-00--------------------------1----------0------------- 00000000100000000000000000000000000 -------00-00-----0--0----------------------------0------------- 00000000100000000000000000000000000 1------00-00-----0-------------------------------0------------- 00000000100000000000000000000000000 -------00000--------0-----------------1------------------------ 00000000100000000000000000000000000 1------00000--------------------------1------------------------ 00000000100000000000000000000000000 -------00000-----0--0------------------------------------------ 00000000100000000000000000000000000 1------00000-----0--------------------------------------------- 00000000100000000000000000000000000 1--------------------------------------1---------0------0000--- 00000000010000000000000000000000000 1----------0---------------------------1---------0-------000--- 00000000010000000000000000000000000 1----------0-----0-------------------------------0-------000--- 00000000010000000000000000000000000 1-----------0--------------------------1---------0------0-00--- 00000000010000000000000000000000000 1-----------0----0-------------------------------0------0-00--- 00000000010000000000000000000000000 1----------00--------------------------1---------0--------00--- 00000000010000000000000000000000000 1----------00----0-------------------------------0--------00--- 00000000010000000000000000000000000 1--------0-----------------------------1---------0------00-0--- 00000000010000000000000000000000000 1--------0-------0-------------------------------0------00-0--- 00000000010000000000000000000000000 1--------0-0---------------------------1---------0-------0-0--- 00000000010000000000000000000000000 1--------0-0-----0-------------------------------0-------0-0--- 00000000010000000000000000000000000 1--------0--0--------------------------1---------0------0--0--- 00000000010000000000000000000000000 1--------0--0----0-------------------------------0------0--0--- 00000000010000000000000000000000000 1--------0-00--------------------------1---------0---------0--- 00000000010000000000000000000000000 1--------0-00----0-------------------------------0---------0--- 00000000010000000000000000000000000 1-------0------------------------------1---------0------000---- 00000000010000000000000000000000000 1-------0--------0-------------------------------0------000---- 00000000010000000000000000000000000 1-------0--0---------------------------1---------0-------00---- 00000000010000000000000000000000000 1-------0--0-----0-------------------------------0-------00---- 00000000010000000000000000000000000 1-------0---0--------------------------1---------0------0-0---- 00000000010000000000000000000000000 1-------0---0----0-------------------------------0------0-0---- 00000000010000000000000000000000000 1-------0--00--------------------------1---------0--------0---- 00000000010000000000000000000000000 1-------0--00----0-------------------------------0--------0---- 00000000010000000000000000000000000 1-------00-----------------------------1---------0------00----- 00000000010000000000000000000000000 1-------00-------0-------------------------------0------00----- 00000000010000000000000000000000000 1-------00-0---------------------------1---------0-------0----- 00000000010000000000000000000000000 1-------00-0-----0-------------------------------0-------0----- 00000000010000000000000000000000000 1-------00--0--------------------------1---------0------0------ 00000000010000000000000000000000000 1-------00--0----0-------------------------------0------0------ 00000000010000000000000000000000000 1-------00-00--------------------------1---------0------------- 00000000010000000000000000000000000 1-------00-00----0-------------------------------0------------- 00000000010000000000000000000000000 ----------0----------------------------1----------------0000--- 00000000010000000000000000000000000 ----------0------0--------------------------------------0000--- 00000000010000000000000000000000000 ----------00---------------------------1-----------------000--- 00000000010000000000000000000000000 ----------00-----0---------------------------------------000--- 00000000010000000000000000000000000 ----------0-0--------------------------1----------------0-00--- 00000000010000000000000000000000000 ----------0-0----0--------------------------------------0-00--- 00000000010000000000000000000000000 ----------000--------------------------1------------------00--- 00000000010000000000000000000000000 ----------000----0----------------------------------------00--- 00000000010000000000000000000000000 ---------00----------------------------1----------------00-0--- 00000000010000000000000000000000000 ---------00------0--------------------------------------00-0--- 00000000010000000000000000000000000 ---------000---------------------------1-----------------0-0--- 00000000010000000000000000000000000 ---------000-----0---------------------------------------0-0--- 00000000010000000000000000000000000 ---------00-0--------------------------1----------------0--0--- 00000000010000000000000000000000000 ---------00-0----0--------------------------------------0--0--- 00000000010000000000000000000000000 ---------0000--------------------------1-------------------0--- 00000000010000000000000000000000000 ---------0000----0-----------------------------------------0--- 00000000010000000000000000000000000 --------0-0----------------------------1----------------000---- 00000000010000000000000000000000000 --------0-0------0--------------------------------------000---- 00000000010000000000000000000000000 --------0-00---------------------------1-----------------00---- 00000000010000000000000000000000000 --------0-00-----0---------------------------------------00---- 00000000010000000000000000000000000 --------0-0-0--------------------------1----------------0-0---- 00000000010000000000000000000000000 --------0-0-0----0--------------------------------------0-0---- 00000000010000000000000000000000000 --------0-000--------------------------1------------------0---- 00000000010000000000000000000000000 --------0-000----0----------------------------------------0---- 00000000010000000000000000000000000 --------000----------------------------1----------------00----- 00000000010000000000000000000000000 --------000------0--------------------------------------00----- 00000000010000000000000000000000000 --------0000---------------------------1-----------------0----- 00000000010000000000000000000000000 --------0000-----0---------------------------------------0----- 00000000010000000000000000000000000 --------000-0--------------------------1----------------0------ 00000000010000000000000000000000000 --------000-0----0--------------------------------------0------ 00000000010000000000000000000000000 --------00000--------------------------1----------------------- 00000000010000000000000000000000000 --------00000----0--------------------------------------------- 00000000010000000000000000000000000 ---------------------------------------1----------------------- 00000000001000000000000000000000000 ---------------------------------------0----------------------- 00000000000100000000000000000000000 --------------------------------------0------------------------ 00000000000010000000000000000000000 --------------------------------------1------------------------ 00000000000001000000000000000000000 ----------------------------------------------000-------------- 00000000000000100000000000000000000 --------------------------0--------------------00-------------- 00000000000000100000000000000000000 ---------------------------------------------00-0-------------- 00000000000000100000000000000000000 --------------------------0------------------0--0-------------- 00000000000000100000000000000000000 --------------------------0-------------------00--------------- 00000000000000100000000000000000000 --------------------------0------------------00---------------- 00000000000000100000000000000000000 ---------------------------------------------0-0--------------- 00000000000000100000000000000000000 --------------1------------------------------------------------ 00000000000000001001000000000000000 -----------------------------------1--------------------------- 00000000000000000100000000000000000 ------------------------------------1-------------------------- 00000000000000000000100000000000000 ------------------1-------------------------------------------- 00000000000000000000001010000000000 ----------------------------0--------0---0----------0---------- 00000000000000000000000001000000000 ---------------------------0---------0---0----------0---------- 00000000000000000000000001000000000 ----------------------------0------0-----0----------0---------- 00000000000000000000000001000000000 ---------------------------0-------0-----0----------0---------- 00000000000000000000000001000000000 ----------------------------0--------0--0-----------0---------- 00000000000000000000000001000000000 ---------------------------0---------0--0-----------0---------- 00000000000000000000000001000000000 ----------------------------0------0----0-----------0---------- 00000000000000000000000001000000000 ---------------------------0-------0----0-----------0---------- 00000000000000000000000001000000000 ----------------------------0--------0---0--------0------------ 00000000000000000000000001000000000 ---------------------------0---------0---0--------0------------ 00000000000000000000000001000000000 ----------------------------0------0-----0--------0------------ 00000000000000000000000001000000000 ---------------------------0-------0-----0--------0------------ 00000000000000000000000001000000000 ----------------------------0--------0--0---------0------------ 00000000000000000000000001000000000 ---------------------------0---------0--0---------0------------ 00000000000000000000000001000000000 ----------------------------0------0----0---------0------------ 00000000000000000000000001000000000 ---------------------------0-------0----0---------0------------ 00000000000000000000000001000000000 -------------------------0--0-------0---------------0---------- 00000000000000000000000000100000000 ------------------------0---0-------0---------------0---------- 00000000000000000000000000100000000 -----------------------0-0----------0---------------0---------- 00000000000000000000000000100000000 -----------------------00-----------0---------------0---------- 00000000000000000000000000100000000 ----------------------0--0--0-----------------------0---------- 00000000000000000000000000100000000 ----------------------0-0---0-----------------------0---------- 00000000000000000000000000100000000 ----------------------00-0--------------------------0---------- 00000000000000000000000000100000000 ----------------------000---------------------------0---------- 00000000000000000000000000100000000 -------------------------0--0-------0--------------0----------- 00000000000000000000000000100000000 ------------------------0---0-------0--------------0----------- 00000000000000000000000000100000000 -----------------------0-0----------0--------------0----------- 00000000000000000000000000100000000 -----------------------00-----------0--------------0----------- 00000000000000000000000000100000000 ----------------------0--0--0----------------------0----------- 00000000000000000000000000100000000 ----------------------0-0---0----------------------0----------- 00000000000000000000000000100000000 ----------------------00-0-------------------------0----------- 00000000000000000000000000100000000 ----------------------000--------------------------0----------- 00000000000000000000000000100000000 -----00------------------------0--0---------0----------0------0 00000000000000000000000000010000000 -0----0------------------------0--0---------0----------0------0 00000000000000000000000000010000000 --0--0-------------------------0--0---------0----------0------0 00000000000000000000000000010000000 -00----------------------------0--0---------0----------0------0 00000000000000000000000000010000000 -----00----------------------0----0---------0----------0------0 00000000000000000000000000010000000 -0----0----------------------0----0---------0----------0------0 00000000000000000000000000010000000 --0--0-----------------------0----0---------0----------0------0 00000000000000000000000000010000000 -00--------------------------0----0---------0----------0------0 00000000000000000000000000010000000 -----00------------------------00-----------0----------0------0 00000000000000000000000000010000000 -0----0------------------------00-----------0----------0------0 00000000000000000000000000010000000 --0--0-------------------------00-----------0----------0------0 00000000000000000000000000010000000 -00----------------------------00-----------0----------0------0 00000000000000000000000000010000000 -----00----------------------0--0-----------0----------0------0 00000000000000000000000000010000000 -0----0----------------------0--0-----------0----------0------0 00000000000000000000000000010000000 --0--0-----------------------0--0-----------0----------0------0 00000000000000000000000000010000000 -00--------------------------0--0-----------0----------0------0 00000000000000000000000000010000000 -----00------------------------0--0-------0------------0------0 00000000000000000000000000010000000 -0----0------------------------0--0-------0------------0------0 00000000000000000000000000010000000 --0--0-------------------------0--0-------0------------0------0 00000000000000000000000000010000000 -00----------------------------0--0-------0------------0------0 00000000000000000000000000010000000 -----00----------------------0----0-------0------------0------0 00000000000000000000000000010000000 -0----0----------------------0----0-------0------------0------0 00000000000000000000000000010000000 --0--0-----------------------0----0-------0------------0------0 00000000000000000000000000010000000 -00--------------------------0----0-------0------------0------0 00000000000000000000000000010000000 -----00------------------------00---------0------------0------0 00000000000000000000000000010000000 -0----0------------------------00---------0------------0------0 00000000000000000000000000010000000 --0--0-------------------------00---------0------------0------0 00000000000000000000000000010000000 -00----------------------------00---------0------------0------0 00000000000000000000000000010000000 -----00----------------------0--0---------0------------0------0 00000000000000000000000000010000000 -0----0----------------------0--0---------0------------0------0 00000000000000000000000000010000000 --0--0-----------------------0--0---------0------------0------0 00000000000000000000000000010000000 -00--------------------------0--0---------0------------0------0 00000000000000000000000000010000000 -----00------------------------0--0---------0--------0--------0 00000000000000000000000000010000000 -0----0------------------------0--0---------0--------0--------0 00000000000000000000000000010000000 --0--0-------------------------0--0---------0--------0--------0 00000000000000000000000000010000000 -00----------------------------0--0---------0--------0--------0 00000000000000000000000000010000000 -----00----------------------0----0---------0--------0--------0 00000000000000000000000000010000000 -0----0----------------------0----0---------0--------0--------0 00000000000000000000000000010000000 --0--0-----------------------0----0---------0--------0--------0 00000000000000000000000000010000000 -00--------------------------0----0---------0--------0--------0 00000000000000000000000000010000000 -----00------------------------00-----------0--------0--------0 00000000000000000000000000010000000 -0----0------------------------00-----------0--------0--------0 00000000000000000000000000010000000 --0--0-------------------------00-----------0--------0--------0 00000000000000000000000000010000000 -00----------------------------00-----------0--------0--------0 00000000000000000000000000010000000 -----00----------------------0--0-----------0--------0--------0 00000000000000000000000000010000000 -0----0----------------------0--0-----------0--------0--------0 00000000000000000000000000010000000 --0--0-----------------------0--0-----------0--------0--------0 00000000000000000000000000010000000 -00--------------------------0--0-----------0--------0--------0 00000000000000000000000000010000000 -----00------------------------0--0-------0----------0--------0 00000000000000000000000000010000000 -0----0------------------------0--0-------0----------0--------0 00000000000000000000000000010000000 --0--0-------------------------0--0-------0----------0--------0 00000000000000000000000000010000000 -00----------------------------0--0-------0----------0--------0 00000000000000000000000000010000000 -----00----------------------0----0-------0----------0--------0 00000000000000000000000000010000000 -0----0----------------------0----0-------0----------0--------0 00000000000000000000000000010000000 --0--0-----------------------0----0-------0----------0--------0 00000000000000000000000000010000000 -00--------------------------0----0-------0----------0--------0 00000000000000000000000000010000000 -----00------------------------00---------0----------0--------0 00000000000000000000000000010000000 -0----0------------------------00---------0----------0--------0 00000000000000000000000000010000000 --0--0-------------------------00---------0----------0--------0 00000000000000000000000000010000000 -00----------------------------00---------0----------0--------0 00000000000000000000000000010000000 -----00----------------------0--0---------0----------0--------0 00000000000000000000000000010000000 -0----0----------------------0--0---------0----------0--------0 00000000000000000000000000010000000 --0--0-----------------------0--0---------0----------0--------0 00000000000000000000000000010000000 -00--------------------------0--0---------0----------0--------0 00000000000000000000000000010000000 -----00------------------------0--0---------0----------0----0-- 00000000000000000000000000010000000 -0----0------------------------0--0---------0----------0----0-- 00000000000000000000000000010000000 --0--0-------------------------0--0---------0----------0----0-- 00000000000000000000000000010000000 -00----------------------------0--0---------0----------0----0-- 00000000000000000000000000010000000 -----00----------------------0----0---------0----------0----0-- 00000000000000000000000000010000000 -0----0----------------------0----0---------0----------0----0-- 00000000000000000000000000010000000 --0--0-----------------------0----0---------0----------0----0-- 00000000000000000000000000010000000 -00--------------------------0----0---------0----------0----0-- 00000000000000000000000000010000000 -----00------------------------00-----------0----------0----0-- 00000000000000000000000000010000000 -0----0------------------------00-----------0----------0----0-- 00000000000000000000000000010000000 --0--0-------------------------00-----------0----------0----0-- 00000000000000000000000000010000000 -00----------------------------00-----------0----------0----0-- 00000000000000000000000000010000000 -----00----------------------0--0-----------0----------0----0-- 00000000000000000000000000010000000 -0----0----------------------0--0-----------0----------0----0-- 00000000000000000000000000010000000 --0--0-----------------------0--0-----------0----------0----0-- 00000000000000000000000000010000000 -00--------------------------0--0-----------0----------0----0-- 00000000000000000000000000010000000 -----00------------------------0--0-------0------------0----0-- 00000000000000000000000000010000000 -0----0------------------------0--0-------0------------0----0-- 00000000000000000000000000010000000 --0--0-------------------------0--0-------0------------0----0-- 00000000000000000000000000010000000 -00----------------------------0--0-------0------------0----0-- 00000000000000000000000000010000000 -----00----------------------0----0-------0------------0----0-- 00000000000000000000000000010000000 -0----0----------------------0----0-------0------------0----0-- 00000000000000000000000000010000000 --0--0-----------------------0----0-------0------------0----0-- 00000000000000000000000000010000000 -00--------------------------0----0-------0------------0----0-- 00000000000000000000000000010000000 -----00------------------------00---------0------------0----0-- 00000000000000000000000000010000000 -0----0------------------------00---------0------------0----0-- 00000000000000000000000000010000000 --0--0-------------------------00---------0------------0----0-- 00000000000000000000000000010000000 -00----------------------------00---------0------------0----0-- 00000000000000000000000000010000000 -----00----------------------0--0---------0------------0----0-- 00000000000000000000000000010000000 -0----0----------------------0--0---------0------------0----0-- 00000000000000000000000000010000000 --0--0-----------------------0--0---------0------------0----0-- 00000000000000000000000000010000000 -00--------------------------0--0---------0------------0----0-- 00000000000000000000000000010000000 -----00------------------------0--0---------0--------0------0-- 00000000000000000000000000010000000 -0----0------------------------0--0---------0--------0------0-- 00000000000000000000000000010000000 --0--0-------------------------0--0---------0--------0------0-- 00000000000000000000000000010000000 -00----------------------------0--0---------0--------0------0-- 00000000000000000000000000010000000 -----00----------------------0----0---------0--------0------0-- 00000000000000000000000000010000000 -0----0----------------------0----0---------0--------0------0-- 00000000000000000000000000010000000 --0--0-----------------------0----0---------0--------0------0-- 00000000000000000000000000010000000 -00--------------------------0----0---------0--------0------0-- 00000000000000000000000000010000000 -----00------------------------00-----------0--------0------0-- 00000000000000000000000000010000000 -0----0------------------------00-----------0--------0------0-- 00000000000000000000000000010000000 --0--0-------------------------00-----------0--------0------0-- 00000000000000000000000000010000000 -00----------------------------00-----------0--------0------0-- 00000000000000000000000000010000000 -----00----------------------0--0-----------0--------0------0-- 00000000000000000000000000010000000 -0----0----------------------0--0-----------0--------0------0-- 00000000000000000000000000010000000 --0--0-----------------------0--0-----------0--------0------0-- 00000000000000000000000000010000000 -00--------------------------0--0-----------0--------0------0-- 00000000000000000000000000010000000 -----00------------------------0--0-------0----------0------0-- 00000000000000000000000000010000000 -0----0------------------------0--0-------0----------0------0-- 00000000000000000000000000010000000 --0--0-------------------------0--0-------0----------0------0-- 00000000000000000000000000010000000 -00----------------------------0--0-------0----------0------0-- 00000000000000000000000000010000000 -----00----------------------0----0-------0----------0------0-- 00000000000000000000000000010000000 -0----0----------------------0----0-------0----------0------0-- 00000000000000000000000000010000000 --0--0-----------------------0----0-------0----------0------0-- 00000000000000000000000000010000000 -00--------------------------0----0-------0----------0------0-- 00000000000000000000000000010000000 -----00------------------------00---------0----------0------0-- 00000000000000000000000000010000000 -0----0------------------------00---------0----------0------0-- 00000000000000000000000000010000000 --0--0-------------------------00---------0----------0------0-- 00000000000000000000000000010000000 -00----------------------------00---------0----------0------0-- 00000000000000000000000000010000000 -----00----------------------0--0---------0----------0------0-- 00000000000000000000000000010000000 -0----0----------------------0--0---------0----------0------0-- 00000000000000000000000000010000000 --0--0-----------------------0--0---------0----------0------0-- 00000000000000000000000000010000000 -00--------------------------0--0---------0----------0------0-- 00000000000000000000000000010000000 -----00--------------0---------0--0---------0----------0------0 00000000000000000000000000001000000 ---0--0--------------0---------0--0---------0----------0------0 00000000000000000000000000001000000 ----00---------------0---------0--0---------0----------0------0 00000000000000000000000000001000000 ---00----------------0---------0--0---------0----------0------0 00000000000000000000000000001000000 -----00--------------0--------0---0---------0----------0------0 00000000000000000000000000001000000 ---0--0--------------0--------0---0---------0----------0------0 00000000000000000000000000001000000 ----00---------------0--------0---0---------0----------0------0 00000000000000000000000000001000000 ---00----------------0--------0---0---------0----------0------0 00000000000000000000000000001000000 -----00--------------0---------0-0----------0----------0------0 00000000000000000000000000001000000 ---0--0--------------0---------0-0----------0----------0------0 00000000000000000000000000001000000 ----00---------------0---------0-0----------0----------0------0 00000000000000000000000000001000000 ---00----------------0---------0-0----------0----------0------0 00000000000000000000000000001000000 -----00--------------0--------0--0----------0----------0------0 00000000000000000000000000001000000 ---0--0--------------0--------0--0----------0----------0------0 00000000000000000000000000001000000 ----00---------------0--------0--0----------0----------0------0 00000000000000000000000000001000000 ---00----------------0--------0--0----------0----------0------0 00000000000000000000000000001000000 -----00--------------0---------0--0--------0-----------0------0 00000000000000000000000000001000000 ---0--0--------------0---------0--0--------0-----------0------0 00000000000000000000000000001000000 ----00---------------0---------0--0--------0-----------0------0 00000000000000000000000000001000000 ---00----------------0---------0--0--------0-----------0------0 00000000000000000000000000001000000 -----00--------------0--------0---0--------0-----------0------0 00000000000000000000000000001000000 ---0--0--------------0--------0---0--------0-----------0------0 00000000000000000000000000001000000 ----00---------------0--------0---0--------0-----------0------0 00000000000000000000000000001000000 ---00----------------0--------0---0--------0-----------0------0 00000000000000000000000000001000000 -----00--------------0---------0-0---------0-----------0------0 00000000000000000000000000001000000 ---0--0--------------0---------0-0---------0-----------0------0 00000000000000000000000000001000000 ----00---------------0---------0-0---------0-----------0------0 00000000000000000000000000001000000 ---00----------------0---------0-0---------0-----------0------0 00000000000000000000000000001000000 -----00--------------0--------0--0---------0-----------0------0 00000000000000000000000000001000000 ---0--0--------------0--------0--0---------0-----------0------0 00000000000000000000000000001000000 ----00---------------0--------0--0---------0-----------0------0 00000000000000000000000000001000000 ---00----------------0--------0--0---------0-----------0------0 00000000000000000000000000001000000 -----00--------------0---------0--0---------0---------0-------0 00000000000000000000000000001000000 ---0--0--------------0---------0--0---------0---------0-------0 00000000000000000000000000001000000 ----00---------------0---------0--0---------0---------0-------0 00000000000000000000000000001000000 ---00----------------0---------0--0---------0---------0-------0 00000000000000000000000000001000000 -----00--------------0--------0---0---------0---------0-------0 00000000000000000000000000001000000 ---0--0--------------0--------0---0---------0---------0-------0 00000000000000000000000000001000000 ----00---------------0--------0---0---------0---------0-------0 00000000000000000000000000001000000 ---00----------------0--------0---0---------0---------0-------0 00000000000000000000000000001000000 -----00--------------0---------0-0----------0---------0-------0 00000000000000000000000000001000000 ---0--0--------------0---------0-0----------0---------0-------0 00000000000000000000000000001000000 ----00---------------0---------0-0----------0---------0-------0 00000000000000000000000000001000000 ---00----------------0---------0-0----------0---------0-------0 00000000000000000000000000001000000 -----00--------------0--------0--0----------0---------0-------0 00000000000000000000000000001000000 ---0--0--------------0--------0--0----------0---------0-------0 00000000000000000000000000001000000 ----00---------------0--------0--0----------0---------0-------0 00000000000000000000000000001000000 ---00----------------0--------0--0----------0---------0-------0 00000000000000000000000000001000000 -----00--------------0---------0--0--------0----------0-------0 00000000000000000000000000001000000 ---0--0--------------0---------0--0--------0----------0-------0 00000000000000000000000000001000000 ----00---------------0---------0--0--------0----------0-------0 00000000000000000000000000001000000 ---00----------------0---------0--0--------0----------0-------0 00000000000000000000000000001000000 -----00--------------0--------0---0--------0----------0-------0 00000000000000000000000000001000000 ---0--0--------------0--------0---0--------0----------0-------0 00000000000000000000000000001000000 ----00---------------0--------0---0--------0----------0-------0 00000000000000000000000000001000000 ---00----------------0--------0---0--------0----------0-------0 00000000000000000000000000001000000 -----00--------------0---------0-0---------0----------0-------0 00000000000000000000000000001000000 ---0--0--------------0---------0-0---------0----------0-------0 00000000000000000000000000001000000 ----00---------------0---------0-0---------0----------0-------0 00000000000000000000000000001000000 ---00----------------0---------0-0---------0----------0-------0 00000000000000000000000000001000000 -----00--------------0--------0--0---------0----------0-------0 00000000000000000000000000001000000 ---0--0--------------0--------0--0---------0----------0-------0 00000000000000000000000000001000000 ----00---------------0--------0--0---------0----------0-------0 00000000000000000000000000001000000 ---00----------------0--------0--0---------0----------0-------0 00000000000000000000000000001000000 -----00--------------0---------0--0---------0----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0---------0--0---------0----------0-----0- 00000000000000000000000000001000000 ----00---------------0---------0--0---------0----------0-----0- 00000000000000000000000000001000000 ---00----------------0---------0--0---------0----------0-----0- 00000000000000000000000000001000000 -----00--------------0--------0---0---------0----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0--------0---0---------0----------0-----0- 00000000000000000000000000001000000 ----00---------------0--------0---0---------0----------0-----0- 00000000000000000000000000001000000 ---00----------------0--------0---0---------0----------0-----0- 00000000000000000000000000001000000 -----00--------------0---------0-0----------0----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0---------0-0----------0----------0-----0- 00000000000000000000000000001000000 ----00---------------0---------0-0----------0----------0-----0- 00000000000000000000000000001000000 ---00----------------0---------0-0----------0----------0-----0- 00000000000000000000000000001000000 -----00--------------0--------0--0----------0----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0--------0--0----------0----------0-----0- 00000000000000000000000000001000000 ----00---------------0--------0--0----------0----------0-----0- 00000000000000000000000000001000000 ---00----------------0--------0--0----------0----------0-----0- 00000000000000000000000000001000000 -----00--------------0---------0--0--------0-----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0---------0--0--------0-----------0-----0- 00000000000000000000000000001000000 ----00---------------0---------0--0--------0-----------0-----0- 00000000000000000000000000001000000 ---00----------------0---------0--0--------0-----------0-----0- 00000000000000000000000000001000000 -----00--------------0--------0---0--------0-----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0--------0---0--------0-----------0-----0- 00000000000000000000000000001000000 ----00---------------0--------0---0--------0-----------0-----0- 00000000000000000000000000001000000 ---00----------------0--------0---0--------0-----------0-----0- 00000000000000000000000000001000000 -----00--------------0---------0-0---------0-----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0---------0-0---------0-----------0-----0- 00000000000000000000000000001000000 ----00---------------0---------0-0---------0-----------0-----0- 00000000000000000000000000001000000 ---00----------------0---------0-0---------0-----------0-----0- 00000000000000000000000000001000000 -----00--------------0--------0--0---------0-----------0-----0- 00000000000000000000000000001000000 ---0--0--------------0--------0--0---------0-----------0-----0- 00000000000000000000000000001000000 ----00---------------0--------0--0---------0-----------0-----0- 00000000000000000000000000001000000 ---00----------------0--------0--0---------0-----------0-----0- 00000000000000000000000000001000000 -----00--------------0---------0--0---------0---------0------0- 00000000000000000000000000001000000 ---0--0--------------0---------0--0---------0---------0------0- 00000000000000000000000000001000000 ----00---------------0---------0--0---------0---------0------0- 00000000000000000000000000001000000 ---00----------------0---------0--0---------0---------0------0- 00000000000000000000000000001000000 -----00--------------0--------0---0---------0---------0------0- 00000000000000000000000000001000000 ---0--0--------------0--------0---0---------0---------0------0- 00000000000000000000000000001000000 ----00---------------0--------0---0---------0---------0------0- 00000000000000000000000000001000000 ---00----------------0--------0---0---------0---------0------0- 00000000000000000000000000001000000 -----00--------------0---------0-0----------0---------0------0- 00000000000000000000000000001000000 ---0--0--------------0---------0-0----------0---------0------0- 00000000000000000000000000001000000 ----00---------------0---------0-0----------0---------0------0- 00000000000000000000000000001000000 ---00----------------0---------0-0----------0---------0------0- 00000000000000000000000000001000000 -----00--------------0--------0--0----------0---------0------0- 00000000000000000000000000001000000 ---0--0--------------0--------0--0----------0---------0------0- 00000000000000000000000000001000000 ----00---------------0--------0--0----------0---------0------0- 00000000000000000000000000001000000 ---00----------------0--------0--0----------0---------0------0- 00000000000000000000000000001000000 -----00--------------0---------0--0--------0----------0------0- 00000000000000000000000000001000000 ---0--0--------------0---------0--0--------0----------0------0- 00000000000000000000000000001000000 ----00---------------0---------0--0--------0----------0------0- 00000000000000000000000000001000000 ---00----------------0---------0--0--------0----------0------0- 00000000000000000000000000001000000 -----00--------------0--------0---0--------0----------0------0- 00000000000000000000000000001000000 ---0--0--------------0--------0---0--------0----------0------0- 00000000000000000000000000001000000 ----00---------------0--------0---0--------0----------0------0- 00000000000000000000000000001000000 ---00----------------0--------0---0--------0----------0------0- 00000000000000000000000000001000000 -----00--------------0---------0-0---------0----------0------0- 00000000000000000000000000001000000 ---0--0--------------0---------0-0---------0----------0------0- 00000000000000000000000000001000000 ----00---------------0---------0-0---------0----------0------0- 00000000000000000000000000001000000 ---00----------------0---------0-0---------0----------0------0- 00000000000000000000000000001000000 -----00--------------0--------0--0---------0----------0------0- 00000000000000000000000000001000000 ---0--0--------------0--------0--0---------0----------0------0- 00000000000000000000000000001000000 ----00---------------0--------0--0---------0----------0------0- 00000000000000000000000000001000000 ---00----------------0--------0--0---------0----------0------0- 00000000000000000000000000001000000 ----------------1---------------------------------------------- 00000000000000000000000000000010010 --------------------------------------------------1------------ 00000000000000000000000000000001000 ---------------------------------------------------1----------- 00000000000000000000000000000000001 .e