JEDEC file for: ATF1504AS Created on: Sun Dec 02 07:23:13 2018 * QF34192* QP84 * F0* NOTE 0 0 0 0 0 * L384 1111111111111111 1111111011111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 16 * L480 1111111111111111 0111111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 15 * L576 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111011111111111111111* NOTE PT 2 of MC 15 * L672 1111111111111111 1111111111011111111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 3 of MC 15 * L768 1111111111111111 1111111111111111111111111111111111111101 1111111111111111111111111111111111111111* NOTE PT 4 of MC 15 * L1344 1111111111111111 1111111110111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 14 * L1440 1111111111111111 1111111101111111111101111111111111111111 1011111111111011111111111111111111111111* NOTE PT 1 of MC 13 * L1536 1111111111111111 1111111110111111111110111111111111111111 0111111111110111111111111111111111111111* NOTE PT 2 of MC 13 * L1632 1111111111111111 1111111101111111111101111111111111111111 0111111111110111111111111111111111111111* NOTE PT 3 of MC 13 * L1728 1111111111111111 1111111110111111111110111111111111111111 1011111111111011111111111111111111111111* NOTE PT 4 of MC 13 * L2016 1111111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 4 of MC 12 * L2112 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111111111111111111011111* NOTE PT 3 of MC 12 * L2208 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011101111111111111* NOTE PT 2 of MC 12 * L2304 1111111111111111 1111111111111111110111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 12 * L2400 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 11 * L2976 1111111111111111 1111111110111111111111101111111111111111 1111111111111010111111111111111111111111* NOTE PT 4 of MC 10 * L3072 1111111111111111 1111111101111111111111011111111111111111 1111111111110101111111111111111111111111* NOTE PT 3 of MC 10 * L3168 1111111111111111 1111111110111111111111101111111111111111 1111111111110101111111111111111111111111* NOTE PT 2 of MC 10 * L3264 1111111111111111 1111111101111111111111011111111111111111 1111111111111010111111111111111111111111* NOTE PT 1 of MC 10 * L3360 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 1 of MC 9 * L3936 1111111111111111 1110111011111110111111111011111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 8 * L4032 1111111111111111 1101110111111101111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 8 * L4128 1111111111111111 1101111011111101111111111011111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 8 * L4224 1111111111111111 1110110111111110111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 8 * L4320 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 1 of MC 7 * L4608 1111111111111111 1111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 4 of MC 7 * L4800 1111111111111111 1111111111111111111110111111010111011111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 6 * L4896 1111111111111111 1111111111111111111110111111101111101111 1011111111111111111111111111111111111111* NOTE PT 4 of MC 6 * L4992 1111111111111111 1111111111111111111101111111101111011111 0111111111111111111111111111111111111111* NOTE PT 3 of MC 6 * L5088 1111111111111111 1111111111111111111101111111011111101111 1011111111111111111111111111111111111111* NOTE PT 2 of MC 6 * L5184 1111111111111111 1111111111111111111110111111011111011111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 6 * L5280 1111111111111111 1111111111111111111110111111010111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 5 * L5376 1111111111111111 1111111111111111111101111111100111011111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 5 * L5472 1111111111111111 1111111111111111111101111111100111111111 0111111111111111111111111111111111111111* NOTE PT 3 of MC 5 * L5568 1111111111111111 1111111111111111111101111111011011101111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 5 * L5664 1111111111111111 1111111111111111111101111111011011111111 1011111111111111111111111111111111111111* NOTE PT 5 of MC 5 * L5760 1111111111111111 1111111111111111111111111111010111011111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 4 * L5856 1111111111111111 1111111111111111111101111111110111111111 0111111111111111111111111111111111111111* NOTE PT 4 of MC 4 * L5952 1111111111111111 1111111111111111111111111111010111111111 0111111111111111111111111111111111111111* NOTE PT 3 of MC 4 * L6048 1111111111111111 1111111111111111111101111111011111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 4 * L6144 1111111111111111 1111111111111111111111111111011111011111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 4 * L6240 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 1 of MC 3 * L6528 1111111111111111 1111111111111111111111111101111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 3 * L6816 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 4 of MC 2 * L7104 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 1 of MC 2 * L8160 1111111111111111 1111111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 31 * L8256 1111111111111111 1111111111110111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 2 of MC 31 * L8352 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111111111101111111111111* NOTE PT 3 of MC 31 * L8448 1111111111111111 1101011111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 31 * L8544 1111111111111111 1111111111111111111111111111111111111111 1101111111111101111111111111111111111111* NOTE PT 5 of MC 31 * L9120 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111011111111111* NOTE PT 1 of MC 29 * L9216 1111111111111111 1011111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 2 of MC 29 * L9312 1111111111111111 0111111111111111111111101111111111111111 1111111111111111111111011111111111111111* NOTE PT 3 of MC 29 * L9408 1111111111111111 0111111111111101111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 29 * L9504 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 29 * L9984 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 28 * L10080 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 27 * L10368 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 4 of MC 27 * L10656 1111111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 26 * L10944 1111111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 1 of MC 26 * L11040 1111111111111111 1111111111111111111111111111111111111111 1111111111111111011111111111011111111111* NOTE PT 1 of MC 25 * L11136 1111111111111111 1111111111111111111111111111111111111111 1111011110111111111111111111111111111111* NOTE PT 2 of MC 25 * L11232 1111111111111111 1111111111111111111111111111111111111111 1111111101111110111111011111111111111111* NOTE PT 3 of MC 25 * L11328 1111111111111111 1111111111111101111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 4 of MC 25 * L11424 1111111111111111 1111111111111111111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 25 * L11808 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111101* NOTE PT 2 of MC 24 * L11904 1111111111111111 1111111111011111111111111111111111111111 1111111110111101111111111111111111111111* NOTE PT 1 of MC 24 * L12000 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 23 * L12288 1111111111111111 1111111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 4 of MC 23 * L13824 1111111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111111111111* NOTE PT 1 of MC 20 * L14784 1111111111111111 1111111111111111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 1 of MC 18 * L15456 1111111111111111 1111111111111111111111111001111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 64 * L15552 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111110111* NOTE PT 3 of MC 64 * L15648 1111111111111111 0111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 2 of MC 64 * L15744 1111111111111111 1111111101111111111111111111111101111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 64 * L17760 1111111111111111 1111111111111111111110101111101011111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 59 * L17856 1111111111111111 1111111111111111111110111111101011111111 1111111111111011111111111111111111111111* NOTE PT 2 of MC 59 * L17952 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 3 of MC 59 * L18048 1111111111111111 1111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 4 of MC 59 * L18432 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 58 * L18528 1111111111111111 1111111111111111111101011111111111111111 1111111111110111111111111111111111111111* NOTE PT 2 of MC 58 * L18624 1111111111111111 1111111111111111111101011111110111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 58 * L20256 1111111111111111 1111111111111111111111011111111011111111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 54 * L20352 1111111111111111 1111111111111111111111101111110111111111 1111111111111011111111111111111111111111* NOTE PT 3 of MC 54 * L20448 1111111111111111 1111111111111111111111101111111011111111 1111111111110111111111111111111111111111* NOTE PT 2 of MC 54 * L20544 1111111111111111 1111111111111111111111011111110111111111 1111111111110111111111111111111111111111* NOTE PT 1 of MC 54 * L23136 1111111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 48 * L23424 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 48 * L24480 1111111111111111 1111110110011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 45 * L24576 1111111111111111 1111111111111111111111011111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 45 * L25440 1111111111111111 1111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 1 of MC 43 * L25536 1111111111111111 1111011111110111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 43 * L25632 1111111111111111 1111111101111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 3 of MC 43 * L25728 1111111111111111 1111111111111111111111111111110111111111 1111011111111111111111111111111111111111* NOTE PT 4 of MC 43 * L25824 1111111111111111 1111110111111111111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 5 of MC 43 * L26976 1111111111111111 1111111111111101111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 40 * L27264 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 40 * L28896 1111111111111111 1110111111111111111111111111111111111101 1111111111111111111111111111111111111111* NOTE PT 4 of MC 36 * L28992 1111111111111111 1111111111111111111111111111011111111111 1111111111111111111101111111111111111111* NOTE PT 3 of MC 36 * L29088 1111111111111111 0111111111111111111111111101111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 36 * L29184 1111111111111111 1111111111111111011111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 1 of MC 36 * L30240 1111111111111111 1111111111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 1 of MC 33 * NOTE macrocell configurations 0 0 0 0 * L30720 1111111111111111* L30736 11111100000011001100110000110011* NOTE S16,S12 of block A * L30768 10111001110110011001000000011001* NOTE S14,S11 of block A * L30800 1111111111111111* L30816 11111111111111110011111100110000* NOTE S16,S12 of block B * L30848 00001000100110001101100110011001* NOTE S14,S11 of block B * L30880 1111111111111111* L30896 01000000000000000000000000000000* NOTE S9 ,S6 of block A * L30928 00000000000000000000000000000000* NOTE S13,S10 of block A * L30960 1111111111111111* L30976 01000100011000000000000000100000* NOTE S9 ,S6 of block B * L31008 00000000000000000000000000000000* NOTE S13,S10 of block B * L31040 1111111111111111* L31056 01000010011000100110011001100110* NOTE S20,S18 of block A * L31088 00000000000000000000000000000010* NOTE S8 ,S21 of block A * L31120 1111111111111111* L31136 01100110011000100100001001100110* NOTE S20,S18 of block B * L31168 01000100000000000000011000100010* NOTE S8 ,S21 of block B * L31200 1111111111111111* L31216 00000000000000000000000000000000* NOTE S7 ,S19 of block A * L31248 11111111111111111111111111111111* NOTE S22,S5 of block A * L31280 1111111111111111* L31296 00000000000000000000000000000000* NOTE S7 ,S19 of block B * L31328 11111111111111111111111111111111* NOTE S22,S5 of block B * L31360 1111111111111111* L31376 11111111111111111111111111111111* NOTE S23,S4 of block A * L31408 11111111111111111110111111101111* NOTE S3 ,S15 of block A * L31440 1111111111111111* L31456 11111111111111111111111111111110* NOTE S23,S4 of block B * L31488 11111111111101111110111111111111* NOTE S3 ,S15 of block B * L31520 1111111111111111* L31536 00001100000011111111111111111111* NOTE S0 ,S1 of block A * L31568 11111111111111111111000000110000* NOTE S17,S2 of block A * L31600 1111111111111111* L31616 00110011000011110011001100000000* NOTE S0 ,S1 of block B * L31648 10000000110011001111000011001100* NOTE S17,S2 of block B * L31680 1111111111111111* L31696 11111111110011001110001111111100* NOTE S16,S12 of block D * L31728 11011001101110010001100110011001* NOTE S14,S11 of block D * L31760 1111111111111111* L31776 11111100111111111111001111111111* NOTE S16,S12 of block C * L31808 10011011100010011001100111011001* NOTE S14,S11 of block C * L31840 1111111111111111* L31856 01100110010001000100001001100100* NOTE S9 ,S6 of block D * L31888 00000000000000000000000000000000* NOTE S13,S10 of block D * L31920 1111111111111111* L31936 00100100011001000110001000100100* NOTE S9 ,S6 of block C * L31968 00000000000000000000000000000000* NOTE S13,S10 of block C * L32000 1111111111111111* L32016 01100110011001100110011001100110* NOTE S20,S18 of block D * L32048 00100110010000100110001001100110* NOTE S8 ,S21 of block D * L32080 1111111111111111* L32096 01100110011001000110011001100100* NOTE S20,S18 of block C * L32128 00100100010001100010011000100100* NOTE S8 ,S21 of block C * L32160 1111111111111111* L32176 00000000000000000000000000000000* NOTE S7 ,S19 of block D * L32208 11111111111111111111111111111111* NOTE S22,S5 of block D * L32240 1111111111111111* L32256 00000000000000000000000000000000* NOTE S7 ,S19 of block C * L32288 11111111111111111111111111111111* NOTE S22,S5 of block C * L32320 1111111111111111* L32336 11111111111111101111111111111111* NOTE S23,S4 of block D * L32368 11111111111111111111111111111111* NOTE S3 ,S15 of block D * L32400 1111111111111111* L32416 11111111111111111111111111111111* NOTE S23,S4 of block C * L32448 01111111111111110111111111111111* NOTE S3 ,S15 of block C * L32480 1111111111111111* L32496 00000000001100000011110000000011* NOTE S0 ,S1 of block D * L32528 11000000001111001000110000000000* NOTE S17,S2 of block D * L32560 1111111111111111* L32576 11000011000000110000000011000011* NOTE S0 ,S1 of block C * L32608 11000011000000001100000011000011* NOTE S17,S2 of block C * NOTE UIM for block A and D * NOTE 0 0 0* L32640 111101111* NOTE Mux-0 of block A* L32649 111111111* NOTE Mux-1 of block D* L32658 111111101* NOTE Mux-0 of block D* L32667 110111111* NOTE Mux-1 of block A* L32676 111111111* NOTE Mux-2 of block A* L32685 111111111* NOTE Mux-3 of block D* L32694 111111111* NOTE Mux-2 of block D* L32703 110111111* NOTE Mux-3 of block A* L32712 111111011* NOTE Mux-4 of block A* L32721 111111111* NOTE Mux-5 of block D* L32730 011111111* NOTE Mux-4 of block D* L32739 011111111* NOTE Mux-5 of block A* L32748 111111111* NOTE Mux-6 of block A* L32757 111111111* NOTE Mux-7 of block D* L32766 111111111* NOTE Mux-6 of block D* L32775 111111011* NOTE Mux-7 of block A* L32784 111111111* NOTE Mux-8 of block A* L32793 111111111* NOTE Mux-9 of block D* L32802 111111111* NOTE Mux-8 of block D* L32811 110111111* NOTE Mux-9 of block A* L32820 110111111* NOTE Mux-10 of block A* L32829 110111111* NOTE Mux-11 of block D* L32838 110111111* NOTE Mux-10 of block D* L32847 011111111* NOTE Mux-11 of block A* L32856 111111011* NOTE Mux-12 of block A* L32865 111111110* NOTE Mux-13 of block D* L32874 111111011* NOTE Mux-12 of block D* L32883 111111011* NOTE Mux-13 of block A* L32892 111101111* NOTE Mux-14 of block A* L32901 111101111* NOTE Mux-15 of block D* L32910 111101111* NOTE Mux-14 of block D* L32919 111101111* NOTE Mux-15 of block A* L32928 111111111* NOTE Mux-16 of block A* L32937 111111111* NOTE Mux-17 of block D* L32946 011111111* NOTE Mux-16 of block D* L32955 110111111* NOTE Mux-17 of block A* L32964 011111111* NOTE Mux-18 of block A* L32973 111111111* NOTE Mux-19 of block D* L32982 101111111* NOTE Mux-18 of block D* L32991 111101111* NOTE Mux-19 of block A* L33000 110111111* NOTE Mux-20 of block A* L33009 110111111* NOTE Mux-21 of block D* L33018 101111111* NOTE Mux-20 of block D* L33027 111111011* NOTE Mux-21 of block A* L33036 111111111* NOTE Mux-22 of block A* L33045 111111111* NOTE Mux-23 of block D* L33054 111111111* NOTE Mux-22 of block D* L33063 111011111* NOTE Mux-23 of block A* L33072 011111111* NOTE Mux-24 of block A* L33081 111111111* NOTE Mux-25 of block D* L33090 111111111* NOTE Mux-24 of block D* L33099 111101111* NOTE Mux-25 of block A* L33108 111101111* NOTE Mux-26 of block A* L33117 111111111* NOTE Mux-27 of block D* L33126 110111111* NOTE Mux-26 of block D* L33135 111111011* NOTE Mux-27 of block A* L33144 111111111* NOTE Mux-28 of block A* L33153 111111111* NOTE Mux-29 of block D* L33162 101111111* NOTE Mux-28 of block D* L33171 111101111* NOTE Mux-29 of block A* L33180 111111111* NOTE Mux-30 of block A* L33189 111111111* NOTE Mux-31 of block D* L33198 111111011* NOTE Mux-30 of block D* L33207 110111111* NOTE Mux-31 of block A* L33216 111111111* NOTE Mux-32 of block A* L33225 111111111* NOTE Mux-33 of block D* L33234 111111111* NOTE Mux-32 of block D* L33243 111110111* NOTE Mux-33 of block A* L33252 111111111* NOTE Mux-34 of block A* L33261 111111111* NOTE Mux-35 of block D* L33270 111111111* NOTE Mux-34 of block D* L33279 110111111* NOTE Mux-35 of block A* L33288 111111111* NOTE Mux-36 of block A* L33297 111111111* NOTE Mux-37 of block D* L33306 111111111* NOTE Mux-36 of block D* L33315 111111110* NOTE Mux-37 of block A* L33324 111111111* NOTE Mux-38 of block A* L33333 111111111* NOTE Mux-39 of block D* L33342 111111110* NOTE Mux-38 of block D* L33351 111111111* NOTE Mux-39 of block A* NOTE UIM for block B and C * NOTE 0 0 0* L33360 111111011* NOTE Mux-0 of block B* L33369 110111111* NOTE Mux-1 of block C* L33378 111111101* NOTE Mux-0 of block C* L33387 111111101* NOTE Mux-1 of block B* L33396 101111111* NOTE Mux-2 of block B* L33405 011111111* NOTE Mux-3 of block C* L33414 011111111* NOTE Mux-2 of block C* L33423 111101111* NOTE Mux-3 of block B* L33432 111111111* NOTE Mux-4 of block B* L33441 111111011* NOTE Mux-5 of block C* L33450 111111011* NOTE Mux-4 of block C* L33459 111111011* NOTE Mux-5 of block B* L33468 111101111* NOTE Mux-6 of block B* L33477 111111101* NOTE Mux-7 of block C* L33486 111101111* NOTE Mux-6 of block C* L33495 111101111* NOTE Mux-7 of block B* L33504 111111111* NOTE Mux-8 of block B* L33513 111101111* NOTE Mux-9 of block C* L33522 011111111* NOTE Mux-8 of block C* L33531 111101111* NOTE Mux-9 of block B* L33540 111111111* NOTE Mux-10 of block B* L33549 111110111* NOTE Mux-11 of block C* L33558 111111111* NOTE Mux-10 of block C* L33567 011111111* NOTE Mux-11 of block B* L33576 111111111* NOTE Mux-12 of block B* L33585 011111111* NOTE Mux-13 of block C* L33594 111111111* NOTE Mux-12 of block C* L33603 111111111* NOTE Mux-13 of block B* L33612 110111111* NOTE Mux-14 of block B* L33621 110111111* NOTE Mux-15 of block C* L33630 011111111* NOTE Mux-14 of block C* L33639 111111111* NOTE Mux-15 of block B* L33648 111111111* NOTE Mux-16 of block B* L33657 111111111* NOTE Mux-17 of block C* L33666 111111111* NOTE Mux-16 of block C* L33675 011111111* NOTE Mux-17 of block B* L33684 011111111* NOTE Mux-18 of block B* L33693 111111110* NOTE Mux-19 of block C* L33702 111111111* NOTE Mux-18 of block C* L33711 111111111* NOTE Mux-19 of block B* L33720 111111101* NOTE Mux-20 of block B* L33729 110111111* NOTE Mux-21 of block C* L33738 111111101* NOTE Mux-20 of block C* L33747 111111101* NOTE Mux-21 of block B* L33756 110111111* NOTE Mux-22 of block B* L33765 111110111* NOTE Mux-23 of block C* L33774 011111111* NOTE Mux-22 of block C* L33783 110111111* NOTE Mux-23 of block B* L33792 111101111* NOTE Mux-24 of block B* L33801 111111111* NOTE Mux-25 of block C* L33810 111111011* NOTE Mux-24 of block C* L33819 111111011* NOTE Mux-25 of block B* L33828 111111101* NOTE Mux-26 of block B* L33837 111111111* NOTE Mux-27 of block C* L33846 111111111* NOTE Mux-26 of block C* L33855 111111011* NOTE Mux-27 of block B* L33864 111101111* NOTE Mux-28 of block B* L33873 111111111* NOTE Mux-29 of block C* L33882 011111111* NOTE Mux-28 of block C* L33891 111101111* NOTE Mux-29 of block B* L33900 111111111* NOTE Mux-30 of block B* L33909 111111111* NOTE Mux-31 of block C* L33918 111111011* NOTE Mux-30 of block C* L33927 011111111* NOTE Mux-31 of block B* L33936 111111111* NOTE Mux-32 of block B* L33945 110111111* NOTE Mux-33 of block C* L33954 111111111* NOTE Mux-32 of block C* L33963 110111111* NOTE Mux-33 of block B* L33972 111101111* NOTE Mux-34 of block B* L33981 111111111* NOTE Mux-35 of block C* L33990 111111111* NOTE Mux-34 of block C* L33999 011111111* NOTE Mux-35 of block B* L34008 111111111* NOTE Mux-36 of block B* L34017 111111111* NOTE Mux-37 of block C* L34026 111111111* NOTE Mux-36 of block C* L34035 111111111* NOTE Mux-37 of block B* L34044 111111111* NOTE Mux-38 of block B* L34053 111111111* NOTE Mux-39 of block C* L34062 111111111* NOTE Mux-38 of block C* L34071 111110111* NOTE Mux-39 of block B* NOTE 6 global OE 0 0 0* L34080 111111111 111111111 111111111 111111111 111111111 111111111 * NOTE device configuration bits* NOTE 0 0 0* L34134 00111111111011010000000111111111* NOTE Special Purpose Bits (JTAG) * L34166 1011* NOTE UES bits* L34170 1111111111111111* NOTE Reserved bits * L34186 000000* CE08C* 0000