#$ TOOL CUPL # Berkeley PLA format generated using CUPL(WM) 5.0a # Serial# 60008009 # Created Sun Dec 02 07:23:13 2018 # # Name M221D # Partno cpld # Revision 01 # Date 12/1/2018 # Designer # Company # Assembly None # Location E1 # #$ TITLE M221D #$ MODULE M221D #$ JEDECFILE M221D #$ DEVICE f1504plcc84 #$ PINS 65 a_eq_b+:11 adder1+:1 adder2+:75 adder3+:69 adder4+:67 addr_match+:14 carry_in+:63 carry_ok_l+:8 carry_out_2+:74 data_add+:25 data_add_2+:60 data_add_3+:16 enable_ac+:31 enable_ac_l+:51 enable_ac_r+:70 enable_bcl+:39 enable_bse+:36 enable_ma2+:55 enable_ma3+:22 enable_mb2+:57 enable_mb3+:17 enable_mem+:46 enable_mq+:54 enable_pc+:68 enable_rsw+:83 load_ac+:50 load_ma+:35 load_mb+:41 load_pc+:45 ls_msc2+:49 ls_msc3+:27 lsw+:84 lsw2+:61 lsw3+:64 ma2_h+:52 ma2_l+:4 ma3_h+:20 ma3_l+:28 mem2+:65 mem3+:24 mq2+:56 mq3+:29 n_t_11x+:62 n_t_12x+:6 n_t_13x+:30 n_t_14x+:40 n_t_15x+:34 n_t_16x+:10 n_t_17x+:15 n_t_18x+:12 no_rot+:73 pc2_l+:44 pc3_l+:37 ps_2+:9 ps_3+:5 ps_left_2+:58 ps_left_3+:33 reg_bus2+:81 reg_bus3+:48 rot_left+:76 rot_right+:79 rs_msc2+:77 rs_msc3+:18 rsw2+:80 rsw3+:21 #$ NODES 6 ac2_h+:86 ac3_h+:85 mb2_h+:88 mb3_h+:87 pc2_h+:90 pc3_h+:89 .i 57 .o 40 .type f .ilb ac2_h.Q ac3_h.Q adder1 adder2 adder3 adder4 carry_in data_add data_add_2 data_add_3 enable_ac enable_ac_l enable_ac_r enable_bcl enable_bse enable_ma2 enable_ma3 enable_mb2 enable_mb3 enable_mem enable_mq enable_pc enable_rsw load_ac load_ma load_mb load_pc ls_msc2 ls_msc3 lsw lsw2 lsw3 ma2_h.Q ma2_l ma3_h.Q ma3_l mb2_h.Q mb3_h.Q mem2 mem3 mq2 mq3 no_rot pc2_h.Q pc3_h.Q ps_2 ps_3 ps_left_2 ps_left_3 reg_bus2 reg_bus3 rot_left rot_right rs_msc2 rs_msc3 rsw2 rsw3 .ob a_eq_b- ac2_h.REG- ac2_h.C ac3_h.REG- ac3_h.C adder2- adder3- addr_match- carry_ok_l- carry_out_2- ma2_h.REG- ma2_h.C ma2_l- ma3_h.REG- ma3_h.C ma3_l- mb2_h.REG- mb2_h.C mb3_h.REG- mb3_h.C n_t_11x- n_t_12x- n_t_13x- n_t_14x- n_t_15x- n_t_16x- n_t_17x- n_t_18x- pc2_h.REG- pc2_h.C pc2_l- pc3_h.REG- pc3_h.C pc3_l- ps_2- ps_3- ps_left_2- ps_left_3- reg_bus2- reg_bus3- .phase 1111111111111111111111111111111111111111 .p 89 -1-----------------------------------0------------------- 1000000000000000000000000000000000000000 -0-----------------------------------1------------------- 1000000000000000000000000000000000000000 1-----------------------------------0-------------------- 1000000000000000000000000000000000000000 0-----------------------------------1-------------------- 1000000000000000000000000000000000000000 -------------------------------------------------0------- 0100000000100000100000000000100000000000 -----------------------1--------------------------------- 0010100000000000000000000000000000000000 --------------------------------------------------0------ 0001000000000100001000000000000100000000 ---------------------------------------------0000-------- 0000010000000000000000000000000000000000 ------0--------------------------------------0-00-------- 0000010000000000000000000000000000000000 ---------------------------------------------1010-------- 0000010000000000000000000000000000000000 ------0--------------------------------------1-10-------- 0000010000000000000000000000000000000000 ---------------------------------------------1101-------- 0000010000000000000000000000000000000000 ------1--------------------------------------1-01-------- 0000010000000000000000000000000000000000 ---------------------------------------------0111-------- 0000010000000000000000000000000000000000 ------1--------------------------------------0-11-------- 0000010000000000000000000000000000000000 ------0--------------------------------------000--------- 0000010000000000000000000000000000000000 ------1--------------------------------------110--------- 0000010000000000000000000000000000000000 ------0--------------------------------------101--------- 0000010000000000000000000000000000000000 ------1--------------------------------------011--------- 0000010000000000000000000000000000000000 ------0---------------------------------------0-0-------- 0000001000000000000000000000000000000000 ------1---------------------------------------1-0-------- 0000001000000000000000000000000000000000 ------1---------------------------------------0-1-------- 0000001000000000000000000000000000000000 ------0---------------------------------------1-1-------- 0000001000000000000000000000000000000000 -------------------------------1---1--------------------- 0000000100000000000000000000000000000000 -------------------------------0--1---------------------- 0000000100000000000000000000000000000000 ------------------------------1--1----------------------- 0000000100000000000000000000000000000000 ------------------------------0-1------------------------ 0000000100000000000000000000000000000000 -1--------------------------------------------0---------- 0000000010000000000000000000000000000000 -0--------------------------------------------1---------- 0000000010000000000000000000000000000000 1--------------------------------------------0----------- 0000000010000000000000000000000000000000 0--------------------------------------------1----------- 0000000010000000000000000000000000000000 ----------------------------------------------000-------- 0000000001000000000000000000000000000000 ------0----------------------------------------00-------- 0000000001000000000000000000000000000000 ---------------------------------------------00-0-------- 0000000001000000000000000000000000000000 ------0--------------------------------------0--0-------- 0000000001000000000000000000000000000000 ------0---------------------------------------00--------- 0000000001000000000000000000000000000000 ------0--------------------------------------00---------- 0000000001000000000000000000000000000000 ---------------------------------------------0-0--------- 0000000001000000000000000000000000000000 ------------------------1-------------------------------- 0000000000010010000000000000000000000000 --------------------------------1------------------------ 0000000000001000000000000000000000000000 ----------------------------------1---------------------- 0000000000000001000000000000000000000000 -------------------------1------------------------------- 0000000000000000010100000000000000000000 0-------------------------------------------------------- 0000000000000000000010000000000000000000 1-------------------------------------------------------- 0000000000000000000001000000000000000000 -0------------------------------------------------------- 0000000000000000000000100000000000000000 -1------------------------------------------------------- 0000000000000000000000010000000000000000 ------------------------------------0-------------------- 0000000000000000000000001000000000000000 ------------------------------------1-------------------- 0000000000000000000000000100000000000000 -------------------------------------0------------------- 0000000000000000000000000010000000000000 -------------------------------------1------------------- 0000000000000000000000000001000000000000 --------------------------1------------------------------ 0000000000000000000000000000010010000000 -------------------------------------------1------------- 0000000000000000000000000000001000000000 --------------------------------------------1------------ 0000000000000000000000000000000001000000 ----------------------1--------------------------------1- 0000000000000000000000000000000000100000 ---------------------1---------------------1------------- 0000000000000000000000000000000000100000 -------------------1------------------1------------------ 0000000000000000000000000000000000100000 -----------------1------------------1-------------------- 0000000000000000000000000000000000100000 ---------------1----------------1------------------------ 0000000000000000000000000000000000100000 1-----------1-------------------------------------------- 0000000000000000000000000000000000100000 -------11------------------------------------------------ 0000000000000000000000000000000000100000 -----------------------------------------------------1--- 0000000000000000000000000000000000100000 ----------------------1---------------------------------1 0000000000000000000000000000000000010000 ---------------------1----------------------1------------ 0000000000000000000000000000000000010000 -------------------1-------------------1----------------- 0000000000000000000000000000000000010000 ------------------1------------------1------------------- 0000000000000000000000000000000000010000 ----------------1-----------------1---------------------- 0000000000000000000000000000000000010000 -1----------1-------------------------------------------- 0000000000000000000000000000000000010000 -------1-1----------------------------------------------- 0000000000000000000000000000000000010000 ------------------------------------------------------1-- 0000000000000000000000000000000000010000 1------------1----------------------0-------------------- 0000000000000000000000000000000000001000 0-------------1---------------------1-------------------- 0000000000000000000000000000000000001000 --------------------1-------------------1---------------- 0000000000000000000000000000000000001000 1----------1--------------------------------------------- 0000000000000000000000000000000000001000 0---------1---------------------------------------------- 0000000000000000000000000000000000001000 ---------------------------1----------------------------- 0000000000000000000000000000000000001000 -1-----------1-----------------------0------------------- 0000000000000000000000000000000000000100 -0------------1----------------------1------------------- 0000000000000000000000000000000000000100 --------------------1--------------------1--------------- 0000000000000000000000000000000000000100 -1---------1--------------------------------------------- 0000000000000000000000000000000000000100 -0--------1---------------------------------------------- 0000000000000000000000000000000000000100 ----------------------------1---------------------------- 0000000000000000000000000000000000000100 --1-------------------------------------------------1---- 0000000000000000000000000000000000000010 ----1----------------------------------------------1----- 0000000000000000000000000000000000000010 ---1--------------------------------------1-------------- 0000000000000000000000000000000000000010 -----------------------------10-------------------------- 0000000000000000000000000000000000000010 ---1------------------------------------------------1---- 0000000000000000000000000000000000000001 -----1---------------------------------------------1----- 0000000000000000000000000000000000000001 ----1-------------------------------------1-------------- 0000000000000000000000000000000000000001 -----------------------------1-0------------------------- 0000000000000000000000000000000000000001 .e