/* This file is generated by topld.pl!! */ /* Please don't edit it. */ Name M8340 ; PartNo cpld ; Date 10/16/2019 ; Revision 01 ; Designer ; Company ; Assembly None ; Location E1 ; Device f1508isptqfp100; $DEFINE OPTIMIZE $UNDEF OPTIMIZE /* Input Pins */ pin = defer; pin = e; pin = fetch; pin = incr_sc; pin = init; pin = int_in_prog; pin = ma_ms_lc; pin = md0; pin = md1; pin = md10; pin = md11; pin = md2; pin = md3; pin = md4; pin = md5; pin = md6; pin = md7; pin = md8; pin = md9; pin = tp1; pin = tp2; pin = tp2_d; pin = tp3; pin = tp4; pin = ts3; /* Output Pins */ pin = adlk_dis_low; pin = c0; pin = dad_or_dst; pin = dad_or_dst_low; pin = data10; pin = data11; pin = data7; pin = data8; pin = data9; pin = div_12_l; pin = eir2; pin = eir3; pin = fd_set; pin = fe_set; pin = last_step_l; pin = modeb; pin = next_loc; pin = rom_11_l; pin = rom_12_l; pin = rom_13_l; pin = rom_14_l; pin = rom_15_l; pin = rom_17_l; pin = rom_22_l; pin = rom_24_l; pin = sc_0_low; node modea; node n_t_1x; node n_t_2x; node n_t_4x; node n_t_5x; node n_t_3x; node n_t_8x; node n_t_14x; node n_t_10x; node n_t_11x; node n_t_17x; node n_t_18x; node n_t_7x; node n_t_26x; node ex1; node n_t_38x; node gdollar_0; node gdollar_1; node gdollar_2; node n_t_39x; node n_t_40x; node n_t_19x; node n_t_42x; /* Internal nodes */ $IFNDEF OPTIMIZE node be; node bfetch; node bmd10; node bmd11; node bmd8; node bmd9; node data_to_sc; node e_low; node eae_inst; node ex1_low; node exec_divide; node exec_multiply; node fetch_low; node init_low; node ld_eir; node n0_to_eir; node n0_to_sc; node n3v; node n3v0; node n_t_15x; node n_t_16x; node n_t_20x; node n_t_21x; node n_t_22x; node n_t_23x; node n_t_24x; node n_t_25x; node n_t_27x; node n_t_28x; node n_t_29x; node n_t_30x; node n_t_31x; node n_t_32x; node n_t_33x; node n_t_34x; node n_t_35x; node n_t_36x; node n_t_37x; node n_t_41x; node n_t_43x; node n_t_44x; node n_t_45x; node n_t_46x; node n_t_47x; node n_t_48x; node n_t_49x; node n_t_50x; node n_t_51x; node n_t_52x; node n_t_53x; node n_t_54x; node n_t_55x; node n_t_6x; node n_t_9x; node rom_19_l; node rom_21_l; node rom_23_l; node rom_25_l; node rom_26_l; node sc_load_low; node sc_to_data; node swab; node swba; node tp3_low; $ENDIF /* Code nodes */ /* Equations */ /* c1: c_us */ /* c2: c_us */ /* c3: c_us */ /* c4: c_us */ /* c6: c_us */ /* c7: c_us */ /* c8: c_us */ /* c9: c_us */ /* c10: c_us */ /* c11: c_us */ /* c12: c_us */ /* c14: c_us */ /* c15: c_us */ /* c16: c_us */ /* c17: c_us */ /* c18: c_us */ /* c19: c_us */ /* c20: c_us */ /* c21: c_us */ /* c22: c_us */ /* c23: c_us */ /* c24: c_us */ /* c25: c_us */ /* c26: c_us */ /* c27: c_us */ /* c28: c_us */ /* c29: c_us */ /* c30: c_us */ /* c31: c_us */ /* c32: c_us */ /* c33: c_us */ /* c34: c_us */ /* c35: cpol_use */ /* c36: cpol_use */ /* c37: cpol_use */ /* e1: sn97401 */ /* n0_to_eir = !(n_t_52x & n_t_29x); */ /* n0_to_eir = !(fetch_low & n_t_28x); */ /* fe_set = !(ex1 & e_low); */ /* c0 = !(n_t_26x & modeb); */ /* e2: sn74h04 */ eae_inst = !n_t_6x; fetch_low = !bfetch; be = !e_low; modeb = !modea; n_t_23x = !swab; n_t_22x = !swba; /* e3: sn74h20 */ n_t_25x = !(swab & swba & n_t_24x & eae_inst); n_t_21x = !(eae_inst & fetch_low & swba & swab); /* e4: sn74h106 */ modea.ap = !init_low; modea.k = n_t_23x; modea.j = n_t_22x; modea.ck = n_t_27x; modea.ar = !n3v0; /* e6: sn7474 */ n_t_1x.ap = !n3v0; n_t_1x.d = !md0; n_t_1x.ck = ld_eir; n_t_1x.ar = !n0_to_eir; n_t_2x.ap = !n3v0; n_t_2x.d = !md1; n_t_2x.ck = ld_eir; n_t_2x.ar = !n0_to_eir; /* e7: sn74h30 */ n_t_6x = !(n3v0 & n_t_1x & n_t_2x & n_t_4x & n_t_5x & n_t_7x & n3v0 & n3v0); /* e8: sn7402 */ exec_multiply = !(rom_12_l # exec_divide); exec_divide = !(rom_12_l # rom_14_l); n0_to_sc = !(tp3_low # rom_23_l); /* e9: sn97401 */ /* n_t_44x = !(data_to_sc & n_t_45x); */ /* data7 = !(sc_to_data & n_t_38x); */ /* n_t_44x = !n_t_43x; */ /* e10: sn7474 */ n_t_4x.ap = !n3v0; n_t_4x.d = !md2; n_t_4x.ck = ld_eir; n_t_4x.ar = !n0_to_eir; n_t_5x.ap = !n3v0; n_t_5x.d = !md3; n_t_5x.ck = ld_eir; n_t_5x.ar = !n0_to_eir; /* e11: dec23001a1 */ e11_10x01 = (eir3 & !eir2 & !dad_or_dst & n_t_21x); e11_1011x = (eir3 & !eir2 & n_t_16x & dad_or_dst); /* rom_11_l = !(!n_t_25x & (e11_10x01 # e11_1011x)); */ e11_00x10 = (!eir3 & !eir2 & dad_or_dst & !n_t_21x); e11_x1001 = (eir2 & !n_t_16x & !dad_or_dst & n_t_21x); e11_0x111 = (!eir3 & n_t_16x & dad_or_dst & n_t_21x); e11_01101 = (!eir3 & eir2 & n_t_16x & !dad_or_dst & n_t_21x); e11_01110 = (!eir3 & eir2 & n_t_16x & dad_or_dst & !n_t_21x); e11_10011 = (eir3 & !eir2 & !n_t_16x & dad_or_dst & n_t_21x); /* rom_12_l = !(!n_t_25x & (e11_00x10 # e11_x1001 # e11_0x111 # e11_10x01 # e11_01101 # e11_01110 # e11_10011)); */ e11_00010 = (!eir3 & !eir2 & !n_t_16x & dad_or_dst & !n_t_21x); e11_00100 = (!eir3 & !eir2 & n_t_16x & !dad_or_dst & !n_t_21x); e11_100x1 = (eir3 & !eir2 & !n_t_16x & n_t_21x); /* rom_13_l = !(!n_t_25x & (e11_00010 # e11_00100 # e11_x1001 # e11_100x1)); */ e11_0111x = (!eir3 & eir2 & n_t_16x & dad_or_dst); e11_10010 = (eir3 & !eir2 & !n_t_16x & dad_or_dst & !n_t_21x); /* rom_14_l = !(!n_t_25x & (e11_00100 # e11_0111x # e11_10x01 # e11_10010)); */ e11_01x01 = (!eir3 & eir2 & !dad_or_dst & n_t_21x); e11_00111 = (!eir3 & !eir2 & n_t_16x & dad_or_dst & n_t_21x); /* rom_15_l = !(!n_t_25x & (e11_00x10 # e11_00100 # e11_01x01 # e11_00111)); */ e11_x0010 = (!eir2 & !n_t_16x & dad_or_dst & !n_t_21x); e11_011x1 = (!eir3 & eir2 & n_t_16x & n_t_21x); /* adlk_dis_low = !(!n_t_25x & (e11_x0010 # e11_011x1 # e11_01110 # e11_100x1)); */ /* rom_17_l = !(!n_t_25x & (e11_x0010 # e11_100x1)); */ e11_x0111 = (!eir2 & n_t_16x & dad_or_dst & n_t_21x); e11_10x10 = (eir3 & !eir2 & dad_or_dst & !n_t_21x); e11_10101 = (eir3 & !eir2 & n_t_16x & !dad_or_dst & n_t_21x); /* rom_19_l = !(!n_t_25x & (e11_00x10 # e11_00100 # e11_x0111 # e11_10x10 # e11_011x1 # e11_01110 # e11_100x1 # e11_10101)); */ /* e12: sn74h11 */ ld_eir = tp2 & fetch_low & fetch_low; /* e14: sn7474 */ n_t_3x.ar = !n3v0; n_t_3x.d = md4; n_t_3x.ck = ld_eir; n_t_3x.ap = !n0_to_eir; n_t_8x.ar = !n3v0; n_t_8x.d = md5; n_t_8x.ck = ld_eir; n_t_8x.ap = !n0_to_eir; /* e15: sn74h30 */ swba = !(n_t_3x & n_t_8x & n_t_9x & n_t_10x & n_t_11x & eir2 & eir3 & n3v0); /* e16: sn74h04 */ n_t_47x = !n_t_39x; n_t_55x = !tp2_d; n_t_52x = !n_t_51x; dad_or_dst_low = !dad_or_dst; data_to_sc = !rom_21_l; div_12_l = !n_t_20x; /* e17: sp384n */ n_t_45x = data7; n_t_29x = int_in_prog; n_t_50x = tp4; n_t_41x = ma_ms_lc; /* e18: sn7474 */ n_t_14x.ar = !n3v0; n_t_14x.d = md6; n_t_14x.ck = ld_eir; n_t_14x.ap = !n0_to_eir; n_t_9x = !n_t_14x; n_t_10x.ar = !n3v0; n_t_10x.d = md7; n_t_10x.ck = ld_eir; n_t_10x.ap = !n0_to_eir; n_t_15x = !n_t_10x; /* e19: dec23002a1 */ e19_001x1 = (!eir3 & !eir2 & n_t_16x & modea); e19_x0110 = (!eir2 & n_t_16x & n_t_9x & !modea); e19_1111x = (eir3 & eir2 & n_t_16x & n_t_9x); e19_100x1 = (eir3 & !eir2 & !n_t_16x & modea); e19_1x010 = (eir3 & !n_t_16x & n_t_9x & !modea); e19_110x1 = (eir3 & eir2 & !n_t_16x & modea); e19_1x101 = (eir3 & n_t_16x & !n_t_9x & modea); e19_10111 = (eir3 & !eir2 & n_t_16x & n_t_9x & modea); /* rom_21_l = !(!n_t_21x & (e19_001x1 # e19_x0110 # e19_1111x # e19_100x1 # e19_1x010 # e19_110x1 # e19_1x101 # e19_10111)); */ e19_0001x = (!eir3 & !eir2 & !n_t_16x & n_t_9x); e19_00101 = (!eir3 & !eir2 & n_t_16x & !n_t_9x & modea); e19_x1001 = (eir2 & !n_t_16x & !n_t_9x & modea); e19_01010 = (!eir3 & eir2 & !n_t_16x & n_t_9x & !modea); e19_10001 = (eir3 & !eir2 & !n_t_16x & !n_t_9x & modea); /* rom_22_l = !(!n_t_21x & (e19_0001x # e19_1x101 # e19_00101 # e19_x1001 # e19_01010 # e19_10001)); */ e19_1x011 = (eir3 & !n_t_16x & n_t_9x & modea); e19_x1010 = (eir2 & !n_t_16x & n_t_9x & !modea); e19_10010 = (eir3 & !eir2 & !n_t_16x & n_t_9x & !modea); /* rom_23_l = !(!n_t_21x & (e19_0001x # e19_1x011 # e19_x1001 # e19_x1010 # e19_10001 # e19_10010)); */ e19_x0101 = (!eir2 & n_t_16x & !n_t_9x & modea); e19_010x0 = (!eir3 & eir2 & !n_t_16x & !modea); e19_11x00 = (eir3 & eir2 & !n_t_9x & !modea); e19_1101x = (eir3 & eir2 & !n_t_16x & n_t_9x); /* rom_24_l = !(!n_t_21x & (e19_x0101 # e19_010x0 # e19_1111x # e19_10001 # e19_11x00 # e19_1101x)); */ e19_11x11 = (eir3 & eir2 & n_t_9x & modea); e19_00110 = (!eir3 & !eir2 & n_t_16x & n_t_9x & !modea); e19_11x10 = (eir3 & eir2 & n_t_9x & !modea); e19_0100x = (!eir3 & eir2 & !n_t_16x & !n_t_9x); e19_01100 = (!eir3 & eir2 & n_t_16x & !n_t_9x & !modea); /* rom_25_l = !(!n_t_21x & (e19_0001x # e19_11x11 # e19_001x1 # e19_00110 # e19_11x10 # e19_0100x # e19_01100)); */ e19_1110x = (eir3 & eir2 & n_t_16x & !n_t_9x); e19_0x100 = (!eir3 & n_t_16x & !n_t_9x & !modea); e19_10100 = (eir3 & !eir2 & n_t_16x & !n_t_9x & !modea); /* rom_26_l = !(!n_t_21x & (e19_0001x # e19_1110x # e19_0x100 # e19_1x011 # e19_10001 # e19_1x010 # e19_10100)); */ e19_011x1 = (!eir3 & eir2 & n_t_16x & modea); e19_01110 = (!eir3 & eir2 & n_t_16x & n_t_9x & !modea); /* fd_set = !(!n_t_21x & (e19_0001x # e19_1x011 # e19_011x1 # e19_01110 # e19_1x010 # e19_10001)); */ e19_000x1 = (!eir3 & !eir2 & !n_t_16x & modea); e19_00x10 = (!eir3 & !eir2 & n_t_9x & !modea); e19_0010x = (!eir3 & !eir2 & n_t_16x & !n_t_9x); e19_0x111 = (!eir3 & n_t_16x & n_t_9x & modea); e19_0110x = (!eir3 & eir2 & n_t_16x & !n_t_9x); e19_1011x = (eir3 & !eir2 & n_t_16x & n_t_9x); e19_10x01 = (eir3 & !eir2 & !n_t_9x & modea); /* fe_set = !(!n_t_21x & (e19_000x1 # e19_00x10 # e19_1111x # e19_0010x # e19_1110x # e19_1x011 # e19_0x111 # e19_0110x # e19_1011x # e19_01110 # e19_10x01 # e19_10100 # e19_1x010)); */ /* e20: sp380n */ !init_low = init; !n_t_53x = dad_or_dst_low # defer; /* e21: sp384n */ n_t_28x = tp1; bfetch = fetch; /* e22: sn7474 */ n_t_11x.ar = !n3v; n_t_11x.d = md8; n_t_11x.ck = ld_eir; n_t_11x.ap = !n0_to_eir; n_t_16x = !n_t_11x; n_t_17x.ar = !n3v; n_t_17x.d = md9; n_t_17x.ck = ld_eir; n_t_17x.ap = !n0_to_eir; eir2 = !n_t_17x; /* e23: sn74h30 */ swab = !(n_t_3x & n_t_8x & n_t_14x & n_t_15x & n_t_16x & n_t_17x & n_t_18x & n3v); /* e24: sn7410 */ sc_0_low = !(n_t_47x & n_t_48x & n_t_49x); /* e25: sp380n */ !n_t_43x = data_to_sc # md7; !tp3_low = tp3; !e_low = e; !sc_to_data = ts3 # rom_25_l; /* e26: sn7474 */ n_t_18x.ar = !n3v; n_t_18x.d = md10; n_t_18x.ck = ld_eir; n_t_18x.ap = !n0_to_eir; eir3 = !n_t_18x; n_t_7x.ap = !n3v; n_t_7x.d = !md11; n_t_7x.ck = ld_eir; n_t_7x.ar = !n0_to_eir; /* e27: sn74h00 */ next_loc = !(rom_26_l & ex1_low); n_t_24x = !(be & bfetch); n_t_51x = !(n_t_50x & n_t_41x); /* e28: sn74h11 */ n_t_27x = eae_inst & ld_eir; n_t_20x = n_t_42x & n_t_39x & n_t_40x; dad_or_dst = n_t_9x & modeb & eae_inst; /* e29: sp384n */ bmd11 = md11; bmd10 = md10; bmd8 = md8; bmd9 = md9; /* e30: sn74h74 */ n_t_26x.ap = !n3v; n_t_26x.d = !rom_21_l; n_t_26x.ck = tp2_d; n_t_26x.ar = !tp3_low; ex1.ar = !bfetch; ex1.d = n_t_53x; ex1.ck = n_t_52x; ex1.ap = !n3v; ex1_low = !ex1; /* e31: sn7402 */ n_t_49x = !(n_t_38x # n_t_19x); n_t_48x = !(n_t_42x # n_t_40x); sc_load_low = !(n_t_54x # n_t_26x); n_t_54x = !(rom_19_l # n_t_55x); /* e32: sp384n */ n_t_30x = data8; n_t_31x = data9; n_t_33x = data11; n_t_32x = data10; /* e33: sn7412 */ /* last_step_l = !(n_t_38x & n_t_19x & n_t_20x); */ /* last_step_l = !(n_t_19x & exec_divide & n_t_42x); */ /* last_step_l = !(exec_multiply & n_t_49x & n_t_20x); */ /* e34: sn74193 */ n_t_38x.ap = !sc_load_low & n_t_44x; n_t_38x.ar = n0_to_sc # !sc_load_low & !n_t_44x; n_t_38x.t = 'b'1; n_t_38x.ck = !(!n3v # !n_t_46x); gdollar_0.ap = 'b'0; gdollar_0.ar = n0_to_sc # !sc_load_low; gdollar_0.t = 'b'1; gdollar_0.ck = !(!n3v & !n_t_38x # !n_t_46x & n_t_38x); gdollar_1.ap = 'b'0; gdollar_1.ar = n0_to_sc # !sc_load_low; gdollar_1.t = 'b'1; gdollar_1.ck = !(!n3v & !n_t_38x & !gdollar_0 # !n_t_46x & n_t_38x & gdollar_0); gdollar_2.ap = 'b'0; gdollar_2.ar = n0_to_sc # !sc_load_low; gdollar_2.t = 'b'1; gdollar_2.ck = !(!n3v & !gdollar_1 & !n_t_38x & !gdollar_0 # !n_t_46x & gdollar_1 & n_t_38x & gdollar_0); /* e35: sn97401 */ /* data11 = !(n_t_39x & sc_to_data); */ /* data10 = !(n_t_40x & sc_to_data); */ /* data8 = !(sc_to_data & n_t_42x); */ /* data9 = !(sc_to_data & n_t_19x); */ /* e36: mc8266 */ n_t_37x = !(!bmd8 & !data_to_sc # n_t_30x & data_to_sc); n_t_36x = !(!bmd9 & !data_to_sc # n_t_31x & data_to_sc); n_t_35x = !(!bmd10 & !data_to_sc # n_t_32x & data_to_sc); n_t_34x = !(!bmd11 & !data_to_sc # n_t_33x & data_to_sc); /* e37: sn74193 */ n_t_39x.ap = !sc_load_low & n_t_34x; n_t_39x.ar = n0_to_sc # !sc_load_low & !n_t_34x; n_t_39x.t = 'b'1; n_t_39x.ck = !(!n3v # !incr_sc); n_t_40x.ap = !sc_load_low & n_t_35x; n_t_40x.ar = n0_to_sc # !sc_load_low & !n_t_35x; n_t_40x.t = 'b'1; n_t_40x.ck = !(!n3v & !n_t_39x # !incr_sc & n_t_39x); n_t_19x.ap = !sc_load_low & n_t_36x; n_t_19x.ar = n0_to_sc # !sc_load_low & !n_t_36x; n_t_19x.t = 'b'1; n_t_19x.ck = !(!n3v & !n_t_39x & !n_t_40x # !incr_sc & n_t_39x & n_t_40x); n_t_42x.ap = !sc_load_low & n_t_37x; n_t_42x.ar = n0_to_sc # !sc_load_low & !n_t_37x; n_t_42x.t = 'b'1; n_t_42x.ck = !(!n3v & !n_t_19x & !n_t_39x & !n_t_40x # !incr_sc & n_t_19x & n_t_39x & n_t_40x); n_t_46x = n_t_39x & n_t_40x & n_t_19x & n_t_42x; /* r1: r_us_ */ /* r2: r_us_ */ /* r3: r_us_ */ /* r4: r_us_ */ /* r5: r_us_ */ /* r6: r_us_ */ /* r7: r_us_ */ /* r8: r_us_ */ /* r9: r_us_ */ /* r10: r_us_ */ /* r11: r_us_ */ n3v = 'b'1; /* r13: r_us_ */ n3v0 = 'b'1; /* Open collector 'wire-or's */ property atmel {open_collector= adlk_dis_low}; !adlk_dis_low = (!n_t_25x & (e11_x0010 # e11_011x1 # e11_01110 # e11_100x1)); adlk_dis_low.oe = (!n_t_25x & (e11_x0010 # e11_011x1 # e11_01110 # e11_100x1)); property atmel {open_collector= c0}; !c0 = (n_t_26x & modeb); c0.oe = (n_t_26x & modeb); property atmel {open_collector= data10}; !data10 = (n_t_40x & sc_to_data); data10.oe = (n_t_40x & sc_to_data); property atmel {open_collector= data11}; !data11 = (n_t_39x & sc_to_data); data11.oe = (n_t_39x & sc_to_data); property atmel {open_collector= data7}; !data7 = (sc_to_data & n_t_38x); data7.oe = (sc_to_data & n_t_38x); property atmel {open_collector= data8}; !data8 = (sc_to_data & n_t_42x); data8.oe = (sc_to_data & n_t_42x); property atmel {open_collector= data9}; !data9 = (sc_to_data & n_t_19x); data9.oe = (sc_to_data & n_t_19x); property atmel {open_collector= fd_set}; !fd_set = (!n_t_21x & (e19_0001x # e19_1x011 # e19_011x1 # e19_01110 # e19_1x010 # e19_10001)); fd_set.oe = (!n_t_21x & (e19_0001x # e19_1x011 # e19_011x1 # e19_01110 # e19_1x010 # e19_10001)); property atmel {open_collector= fe_set}; !fe_set = (ex1 & e_low) # (!n_t_21x & (e19_000x1 # e19_00x10 # e19_1111x # e19_0010x # e19_1110x # e19_1x011 # e19_0x111 # e19_0110x # e19_1011x # e19_01110 # e19_10x01 # e19_10100 # e19_1x010)); fe_set.oe = (ex1 & e_low) # (!n_t_21x & (e19_000x1 # e19_00x10 # e19_1111x # e19_0010x # e19_1110x # e19_1x011 # e19_0x111 # e19_0110x # e19_1011x # e19_01110 # e19_10x01 # e19_10100 # e19_1x010)); property atmel {open_collector= last_step_l}; !last_step_l = (n_t_38x & n_t_19x & n_t_20x) # (n_t_19x & exec_divide & n_t_42x) # (exec_multiply & n_t_49x & n_t_20x); last_step_l.oe = (n_t_38x & n_t_19x & n_t_20x) # (n_t_19x & exec_divide & n_t_42x) # (exec_multiply & n_t_49x & n_t_20x); !n0_to_eir = (n_t_52x & n_t_29x) # (fetch_low & n_t_28x); !n_t_44x = (data_to_sc & n_t_45x) # (n_t_43x); property atmel {open_collector= rom_11_l}; !rom_11_l = (!n_t_25x & (e11_10x01 # e11_1011x)); rom_11_l.oe = (!n_t_25x & (e11_10x01 # e11_1011x)); property atmel {open_collector= rom_12_l}; !rom_12_l = (!n_t_25x & (e11_00x10 # e11_x1001 # e11_0x111 # e11_10x01 # e11_01101 # e11_01110 # e11_10011)); rom_12_l.oe = (!n_t_25x & (e11_00x10 # e11_x1001 # e11_0x111 # e11_10x01 # e11_01101 # e11_01110 # e11_10011)); property atmel {open_collector= rom_13_l}; !rom_13_l = (!n_t_25x & (e11_00010 # e11_00100 # e11_x1001 # e11_100x1)); rom_13_l.oe = (!n_t_25x & (e11_00010 # e11_00100 # e11_x1001 # e11_100x1)); property atmel {open_collector= rom_14_l}; !rom_14_l = (!n_t_25x & (e11_00100 # e11_0111x # e11_10x01 # e11_10010)); rom_14_l.oe = (!n_t_25x & (e11_00100 # e11_0111x # e11_10x01 # e11_10010)); property atmel {open_collector= rom_15_l}; !rom_15_l = (!n_t_25x & (e11_00x10 # e11_00100 # e11_01x01 # e11_00111)); rom_15_l.oe = (!n_t_25x & (e11_00x10 # e11_00100 # e11_01x01 # e11_00111)); property atmel {open_collector= rom_17_l}; !rom_17_l = (!n_t_25x & (e11_x0010 # e11_100x1)); rom_17_l.oe = (!n_t_25x & (e11_x0010 # e11_100x1)); !rom_19_l = (!n_t_25x & (e11_00x10 # e11_00100 # e11_x0111 # e11_10x10 # e11_011x1 # e11_01110 # e11_100x1 # e11_10101)); !rom_21_l = (!n_t_21x & (e19_001x1 # e19_x0110 # e19_1111x # e19_100x1 # e19_1x010 # e19_110x1 # e19_1x101 # e19_10111)); property atmel {open_collector= rom_22_l}; !rom_22_l = (!n_t_21x & (e19_0001x # e19_1x101 # e19_00101 # e19_x1001 # e19_01010 # e19_10001)); rom_22_l.oe = (!n_t_21x & (e19_0001x # e19_1x101 # e19_00101 # e19_x1001 # e19_01010 # e19_10001)); !rom_23_l = (!n_t_21x & (e19_0001x # e19_1x011 # e19_x1001 # e19_x1010 # e19_10001 # e19_10010)); property atmel {open_collector= rom_24_l}; !rom_24_l = (!n_t_21x & (e19_x0101 # e19_010x0 # e19_1111x # e19_10001 # e19_11x00 # e19_1101x)); rom_24_l.oe = (!n_t_21x & (e19_x0101 # e19_010x0 # e19_1111x # e19_10001 # e19_11x00 # e19_1101x)); !rom_25_l = (!n_t_21x & (e19_0001x # e19_11x11 # e19_001x1 # e19_00110 # e19_11x10 # e19_0100x # e19_01100)); !rom_26_l = (!n_t_21x & (e19_0001x # e19_1110x # e19_0x100 # e19_1x011 # e19_10001 # e19_1x010 # e19_10100));