JEDEC file for: ATF1508AS Created on: Wed Oct 09 23:46:24 2019 * QF74136* QP100 * F0* NOTE 0 0 0 0 0 * L0 1111110011111100 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 16 * L96 1111110011111101 1111111110111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 16 * L192 1111110111011100 1111111111111101111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 16 * L288 1111110111011101 1111111110111101111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 16 * L384 1111111111111111 1111111111111011111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 16 * L480 1111111111111111 1111111111111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 15 * L672 1111111111111111 1111111111111111101111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 15 * L768 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 4 of MC 15 * L960 1111011011110111 1111111111111101111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 5 of MC 14 * L1056 1111011010110111 1111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 4 of MC 14 * L1152 1111101011111111 1111111111111101111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 3 of MC 14 * L1248 1111101010111111 1111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 2 of MC 14 * L1344 1111111111111111 1111111111111101111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 14 * L1440 1111111111111111 1111110111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 13 * L1536 1111111111111111 1111111111111111111111100111111111111110 1111111111111111111011111111111111111111* NOTE PT 2 of MC 13 * L1632 1111111111111111 1111111111111111110101111111111111011111 1111111111111111111110111111111011111110* NOTE PT 3 of MC 13 * L1728 1111111111111111 1011111111111111111101111111111111011111 1111111111111111111111111111111011111111* NOTE PT 4 of MC 13 * L1824 1111111111111111 1111111111111111111111110111111111111111 1111111111111111101111111111111111111111* NOTE PT 5 of MC 13 * L1920 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 5 of MC 12 * L2112 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111011111111111111* NOTE PT 3 of MC 12 * L2208 1110111111111111 1111111111111111110111111111111111111111 1111111111111111111110111111111111111110* NOTE PT 2 of MC 12 * L2304 1111111111111111 1111111111111111111111111111111111111110 1111111111111111110111111111111111111111* NOTE PT 1 of MC 12 * L2400 1101111111111111 1111111111111111111111101111111111111111 1111101111111111111111111111111111111111* NOTE PT 1 of MC 11 * L2496 1110111111111111 1111111111111111110111111111111111111111 1111111111111111111110111111111111111110* NOTE PT 2 of MC 11 * L2592 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111011111111111111* NOTE PT 3 of MC 11 * L3072 1101111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 10 * L3168 1111111111111111 0111111111111111111110111111111111011111 1111111111111111111111111111111011111110* NOTE PT 2 of MC 10 * L3264 1111111111111111 0111111111111111111101111111111111011111 1111111111111111111111111111111011111111* NOTE PT 1 of MC 10 * L3360 1111111111111111 0111111111111111111110111111111111011111 1111111111111111111111111111111011111111* NOTE PT 1 of MC 9 * L3456 1111111111111011 1111111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 9 * L3552 1111111111111111 1111111111101101111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 9 * L4032 1111111111111111 1111111111111111111101111111111111011111 1111111111111111111111111111111011111110* NOTE PT 3 of MC 8 * L4128 1111111111111111 1011111111111111111101111111111111011111 1111111111111111111111111111111011111111* NOTE PT 2 of MC 8 * L4224 1111111111111111 1011111111111111111101111111111111011111 1111111111111111111111111111111011111111* NOTE PT 1 of MC 8 * L4320 1101111111111111 1111111111111111111111101111111111111111 1111011111111111111111111111111111111111* NOTE PT 1 of MC 7 * L4416 1111111111111111 1111111111111111111111101111111111111110 1111111111111111111011111111111111111111* NOTE PT 2 of MC 7 * L4512 1111111111111111 1111111111111111111111111111111111111111 1111111111111111101111111111111111111111* NOTE PT 3 of MC 7 * L4992 1111111111111111 1111111011011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 6 * L5088 1111111111111111 1111110111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 6 * L5184 1111111111111111 1111111111111111111111101111111111111110 1111111111111111111011111111111111111111* NOTE PT 1 of MC 6 * L5280 1111111111111111 1101111111111111111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 5 * L5376 1111111111111111 1111111111111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 5 * L5472 1111111111111111 1111111111111011111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 5 * L5952 1111111111111111 1111111111111111111011111011111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 4 * L6048 1111111111111111 1111111111111111111111111011111111111111 1111111111111111111101111111111111111111* NOTE PT 2 of MC 4 * L6144 1111111101001111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 4 * L6240 1111111111111111 1011111111111111111110111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 3 * L6336 1111111111111111 1111111110111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 3 * L6624 1111111111111111 1111111110111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 3 * L6720 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111011111111* NOTE PT 5 of MC 2 * L7104 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111011111111* NOTE PT 1 of MC 2 * L7200 1111111111111111 1111111110111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 1 * L7584 1111111111111111 1111111110111011111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 1 * L7680 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 32 * L7776 1111111111001001 1111111111111111111011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 32 * L7872 1111111111001011 1111111110111111111011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 32 * L7968 1111111111010001 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 32 * L8064 1111111111010011 1111111110111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 32 * L8160 1111111111111111 1111111111111011111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 31 * L8256 1111111111111111 0111111111111111111111111111111111110111 1111101111111111111111111111111011111111* NOTE PT 2 of MC 31 * L8352 1111111111111111 0101111111111111111111111111111111111111 1111111111111111111011111011111011011111* NOTE PT 3 of MC 31 * L8448 1111110111111111 1111111111111111111101111111111111111111 1111111111111111111111011111111111111110* NOTE PT 4 of MC 31 * L8928 1111111111111111 1111111111111111111111111111111111111110 1111111111111111111111111111111111111111* NOTE PT 2 of MC 30 * L9024 1111111111111111 1111111111111011111111111111111011111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 30 * L9120 1111101111111111 1111111111111111111111101111111111111111 1111101111111111111111111111111111111111* NOTE PT 1 of MC 29 * L9216 1111111111111111 1111111111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 2 of MC 29 * L9696 1111101111111111 1111111111111111111111101111111111111111 1111101111111111111111111111111111111111* NOTE PT 4 of MC 28 * L9792 1111111111111111 0111111111111111111111111111111111101111 1111111110111111111111111111111011011111* NOTE PT 3 of MC 28 * L9888 1111101111111111 1111111111011111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 28 * L9984 1111111111111111 1011111111111111111111111111111111111111 1111111101111111111111111111111011011111* NOTE PT 1 of MC 28 * L10080 1111101111111111 1111111111111111111111101111111111111111 1111011111111111111111111111111111111111* NOTE PT 1 of MC 27 * L10176 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 2 of MC 27 * L10560 1111111011111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 26 * L10848 1111111011111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 26 * L10944 1111111111111111 0111111111111111111111111111111111111111 1111111111111111111011111011111011011111* NOTE PT 1 of MC 26 * L11040 1111111111111111 0111111111111111111111111011111111111111 1111111111111111111011111111111011011111* NOTE PT 1 of MC 25 * L11904 1111111111111111 0111111111111111111101111011111111111111 1111111111111111111011011011111011011110* NOTE PT 1 of MC 24 * L12000 1111111100111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 23 * L12864 1111111111111111 1011111111111111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 1 of MC 22 * L13920 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 1 of MC 19 * L15360 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 5 of MC 48 * L15456 1111111111111011 1111111111111111111111101111111111111111 1111101111111111111111111111111111111111* NOTE PT 4 of MC 48 * L15552 1111111111111111 1111111111110111111110111111111111111111 1111111111111111111111101111111011011111* NOTE PT 3 of MC 48 * L15648 1111111111111011 1111111111011111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 48 * L15744 1111111111111111 1111111111111111111111111111111111111110 1111111111011111111111111111111111111111* NOTE PT 1 of MC 48 * L15840 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111011111111111111111111* NOTE PT 1 of MC 47 * L15936 1111111111111110 1111111111111111111111111111111111111111 1111011111111111111111101111111111111111* NOTE PT 2 of MC 47 * L16032 1111111111111101 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 47 * L16128 1111111111111111 1111111110111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 4 of MC 47 * L16224 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 5 of MC 47 * L16320 1111111111111111 1111111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 46 * L16416 1111111111111111 1011111111111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 46 * L16512 1111111111111011 1111111111111111111111111111111111111111 1111111111111111111111111111111111101111* NOTE PT 3 of MC 46 * L16608 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111110111111* NOTE PT 2 of MC 46 * L16704 1111111111111111 1111111111111011111110111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 46 * L16800 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111110111111* NOTE PT 1 of MC 45 * L16896 1111111111111011 1111111111111111111111111111111111111111 1111111111111111111111111111111111101111* NOTE PT 2 of MC 45 * L16992 1111111111111111 1011111111111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 45 * L17568 1111111111111011 1111111111111111111111101111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 44 * L17664 1111111111111111 1110111111110111111110111111111111111111 1111111111111111111111111111111011011111* NOTE PT 1 of MC 44 * L17760 1111111111111111 1111111111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 1 of MC 43 * L18624 1111111111111111 1111111110111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 42 * L20544 1111111111111111 1111111111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 1 of MC 38 * L20640 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111110111111111111* NOTE PT 1 of MC 37 * L21024 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 5 of MC 37 * L23328 1111111111111111 1111111111111111111111111111101111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 64 * L23424 1111111111111111 1111111111111111111110101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 64 * L23520 1111111111101111 1111111111111111101111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 63 * L23616 1111111111111111 1111111111111111111111111111111111101111 1111111010111111011111011111111111111111* NOTE PT 2 of MC 63 * L23712 1111111111101111 1111111111111111111111101111110111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 63 * L23904 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 5 of MC 63 * L24288 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 62 * L24384 1111111111101111 1111111111111111011111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 62 * L24480 1111111111111000 1111111111111111111111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 1 of MC 61 * L24576 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 61 * L24960 1111111111111111 1111111111111111111111111101111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 60 * L25152 1111111111101111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 60 * L25248 1111111111111111 1111111011111111111111111111111111101111 1111111110111111011111011111111111111111* NOTE PT 2 of MC 60 * L25344 1111111111111111 1111111111111111111111111111111111111111 1111111110111111101111111111111111111111* NOTE PT 1 of MC 60 * L25440 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111011* NOTE PT 1 of MC 59 * L26208 1111111111101111 1111111111111111111111101111111101111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 58 * L26304 1111111111111111 1111111111111111111111111111111111101111 1111111110111111011111011111111111111111* NOTE PT 1 of MC 58 * L26400 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111110111111111111* NOTE PT 1 of MC 57 * L27264 1111111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111111111111* NOTE PT 1 of MC 56 * L28224 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111101111* NOTE PT 1 of MC 54 * L28320 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111011111111* NOTE PT 1 of MC 53 * L29280 1111111111111111 1111111101111111111111111111111111111111 1111111111111011111111111111111111111111* NOTE PT 1 of MC 51 * L29376 1111111111111111 1111111111111111111011111111111111111111 1111111111111011111111111111111111111111* NOTE PT 2 of MC 51 * L29664 1111111111110111 1111111011111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 51 * L30240 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 49 * L31392 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 3 of MC 127 * L31488 1111111111111111 1111111110111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 127 * L31968 1111111111111111 1111111111111101111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 2 of MC 126 * L32064 1111111111111111 1111111111111110111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 1 of MC 126 * L32160 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111011111111111111111111* NOTE PT 1 of MC 125 * L32928 1111111111111111 1111111111111011111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 2 of MC 124 * L33024 1111111111111111 1111111111110111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 1 of MC 124 * L33120 1111111111111111 1110111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 123 * L33504 1111111111111111 1110111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 5 of MC 123 * L33600 1111111111111111 1111110111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 122 * L33984 1111111111111111 1111110111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 122 * L34080 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111101111* NOTE PT 1 of MC 121 * L35904 1111111111111111 1111111111111111110111111011111111101111 1111111111111111111111101111111111111111* NOTE PT 1 of MC 118 * L38400 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 5 of MC 112 * L38592 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111110* NOTE PT 3 of MC 112 * L38688 1111111111111111 1111111110111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 112 * L38784 1111111111111111 1111111111111111111111100111111111111111 1111111111111111111111111011111111111111* NOTE PT 1 of MC 112 * L38880 1111111111111111 1110111111111111111111101111111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 111 * L38976 1111111111111111 1111111011111111111111101110111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 111 * L39072 1111111111111111 1111111111111111111011111111111111111111 1111111111110111111111111101111111111111* NOTE PT 3 of MC 111 * L39264 1111111111111111 1111111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 111 * L39360 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 5 of MC 110 * L39552 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 3 of MC 110 * L39648 1111111111111111 1111111111111101111101111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 110 * L39744 1111111111111111 1111111111111011111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 1 of MC 110 * L39840 1111111111111111 1110111111111111111111101111111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 109 * L39936 1111111111111111 1111111011111111111111101110111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 109 * L40032 1111111111111111 1111111111111111111011111111111111111111 1111111111110111111111111101111111111111* NOTE PT 3 of MC 109 * L40512 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 3 of MC 108 * L40608 1111111111111111 1111111111111101111101111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 108 * L40704 1111111111111111 1111111111111011111111111111111111111111 1111111111111111111111111111111111011111* NOTE PT 1 of MC 108 * L40800 1111111111111111 1111111111111111111111100111111111111111 1111111111111111111111111011111111111111* NOTE PT 1 of MC 107 * L40896 1111111111111111 1111111110111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 107 * L40992 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111110* NOTE PT 3 of MC 107 * L41280 1111111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 5 of MC 106 * L41568 1111111111111111 1111111111111111111011111111111111111111 1111111111110111111111111101111111111111* NOTE PT 2 of MC 106 * L41664 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 1 of MC 106 * L41760 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 1 of MC 105 * L41856 1111111111111111 1111111111111111111011111111111111111111 1111111111110111111111111101111111111111* NOTE PT 2 of MC 105 * L42528 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111101111111111* NOTE PT 2 of MC 104 * L42624 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111110111111011111111111* NOTE PT 1 of MC 104 * L46176 1111111111111111 1111111111111111101111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 96 * L46272 1111111111110111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 96 * L46368 1111111111111101 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 2 of MC 96 * L46464 1111111111111111 1111111111111111111111111111111111011111 1111111111111111110111111111111111111111* NOTE PT 1 of MC 96 * L46560 1111111111111111 1111110111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 1 of MC 95 * L46656 1111111111111111 1111111111111011111101111111111111111111 1111111111111111111111111111111011011111* NOTE PT 2 of MC 95 * L46752 1111111111111111 1111111111111111111101111111111111111111 1111111111111111111111101111111011011111* NOTE PT 3 of MC 95 * L46944 1111111111111111 1111111101111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 95 * L47328 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111110111111111111* NOTE PT 2 of MC 94 * L47424 1111111111111111 1111111011111111111111101111111111111111 1111111111111110111111111111111111111111* NOTE PT 1 of MC 94 * L47520 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111110111111* NOTE PT 1 of MC 93 * L47616 1111111111111111 1111111111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 2 of MC 93 * L48096 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111110111111111111111* NOTE PT 4 of MC 92 * L48192 1111111111111111 1111111111111111111111111111101111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 92 * L48288 1111111111111111 1111111111111111111111111111111011111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 92 * L48384 1111111111111111 1111111111111011111110111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 92 * L48480 1111111111111111 1111111111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 1 of MC 91 * L48960 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111110111* NOTE PT 5 of MC 90 * L49248 1111111111111111 1111111111111111111111111111110111111111 1111111111111111111111111111111111101111* NOTE PT 2 of MC 90 * L49344 1111111111111111 1111111111111111111111111111111011111111 1111111111111111111111111111111111011111* NOTE PT 1 of MC 90 * L49440 1111111111111101 1111111111111111111111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 1 of MC 89 * L49536 1111111111101111 1111111111111111111111111111110111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 89 * L50400 1111111111111011 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 87 * L50784 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 5 of MC 87 * L51168 1111111111111110 1111111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 86 * L51264 1111111111111111 1111111111101111111111111111111111011111 1111111111111111110111111111111111111111* NOTE PT 1 of MC 86 * L51360 1111111111111111 1111111111111111111111111111111011111111 1111111111111111111111111111111111011111* NOTE PT 1 of MC 85 * L51456 1111111111111111 1111111111111111111111111111110111111111 1111111111111111111111111111111111101111* NOTE PT 2 of MC 85 * L54048 1111111111111111 1111111111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 80 * L54144 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101011111111111111* NOTE PT 1 of MC 80 * L54240 1111111111111111 1111111111111111111111111111111011111111 1111111111111111111111111011111111111111* NOTE PT 1 of MC 79 * L54336 1111111111100111 1111111111111111011111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 2 of MC 79 * L54432 1111111111111111 1111011111111111111011111111111111111111 1111111111111111111111101111111111111111* NOTE PT 3 of MC 79 * L54528 1111111111101111 1111111111111110101111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 4 of MC 79 * L54624 1111111111111111 1111111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 5 of MC 79 * L54720 1111111111101111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 78 * L54816 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 4 of MC 78 * L55008 1111111111111111 1111111111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 2 of MC 78 * L55104 1111111111111111 0101111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 1 of MC 78 * L55200 1111111111111111 1111111111111111111111111111111111111101 1111111111111111111111111111110111111111* NOTE PT 1 of MC 77 * L55296 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 77 * L55776 1111111111101111 1111111111111110101111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 4 of MC 76 * L55872 1111111111111111 1111011111111111111011111111111111111111 1111111111111111111111101111111111111111* NOTE PT 3 of MC 76 * L55968 1111111111100111 1111111111111111011111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 2 of MC 76 * L56064 1111111111111111 1011111111111111111111111111111111111111 1111111111111111101111111111111111111111* NOTE PT 1 of MC 76 * L56160 1111111111111111 1111111011111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 75 * L56544 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111011111111111111* NOTE PT 5 of MC 75 * L56640 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 5 of MC 74 * L56928 1111111111101111 1111111111110111111111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 2 of MC 74 * L57024 1111111111111111 0111111111111111111111111111111111111111 1111110111111111101111111111111111111110* NOTE PT 1 of MC 74 * L57120 1111111111111100 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 73 * L57504 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111110111* NOTE PT 5 of MC 73 * L57984 1111111111111011 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 72 * L58080 1111111111111111 1001111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 1 of MC 71 * L58944 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 70 * NOTE macrocell configurations 0 0 0 0 * L61440 1111111111111111* L61456 11111110011001100110011000001100* NOTE S16,S12 of block A * L61488 01010000100110011001100110011001* NOTE S14,S11 of block A * L61520 1111111111111111* L61536 11001100111111111111110011110000* NOTE S16,S12 of block B * L61568 11011001100110011001100100010001* NOTE S14,S11 of block B * L61600 1111111111111111* L61616 00000000101100111101111101001100* NOTE S16,S12 of block C * L61648 10111001100110011000100100000000* NOTE S14,S11 of block C * L61680 1111111111111111* L61696 11001100111100111111111011110111* NOTE S16,S12 of block D * L61728 10011001100110011000100100010001* NOTE S14,S11 of block D * L61760 1111111111111111* L61776 00001001100110011001100110111001* NOTE S9 ,S6 of block A * L61808 00000000000000000000000000000000* NOTE S13,S10 of block A * L61840 1111111111111111* L61856 01000000011111111101100110011000* NOTE S9 ,S6 of block B * L61888 00000000000000000000000000000000* NOTE S13,S10 of block B * L61920 1111111111111111* L61936 00000000000000100100000000011001* NOTE S9 ,S6 of block C * L61968 00000000000000000000000000000000* NOTE S13,S10 of block C * L62000 1111111111111111* L62016 00000000000000000000000110011001* NOTE S9 ,S6 of block D * L62048 00000000000000000000000000000000* NOTE S13,S10 of block D * L62080 1111111111111111* L62096 01100110011001100110011001100010* NOTE S20,S18 of block A * L62128 00000000000000000000000000000000* NOTE S8 ,S21 of block A * L62160 1111111111111111* L62176 01101110011001100110111011110110* NOTE S20,S18 of block B * L62208 00000000000000000000001001000110* NOTE S8 ,S21 of block B * L62240 1111111111111111* L62256 01100110001101100100111001100010* NOTE S20,S18 of block C * L62288 00000000000000100110000001100110* NOTE S8 ,S21 of block C * L62320 1111111111111111* L62336 11100110111101111110111011110111* NOTE S20,S18 of block D * L62368 00000000000000000010000001000100* NOTE S8 ,S21 of block D * L62400 1111111111111111* L62416 00000000000000000000000000000000* NOTE S7 ,S19 of block A * L62448 11111111111111111111111111111111* NOTE S22,S5 of block A * L62480 1111111111111111* L62496 00000000000000000000000000000000* NOTE S7 ,S19 of block B * L62528 11111111111111111111111111111111* NOTE S22,S5 of block B * L62560 1111111111111111* L62576 00000000000000000000000000000000* NOTE S7 ,S19 of block C * L62608 11111111111110111111110111111111* NOTE S22,S5 of block C * L62640 1111111111111111* L62656 00000000000000000000000000000000* NOTE S7 ,S19 of block D * L62688 11111111111111111111111111111111* NOTE S22,S5 of block D * L62720 1111111111111111* L62736 11111111111111111111111111111111* NOTE S23,S4 of block A * L62768 11111111111111111111111111111111* NOTE S3 ,S15 of block A * L62800 1111111111111111* L62816 11101110111111111111111111111111* NOTE S23,S4 of block B * L62848 11111111111111111111111111111111* NOTE S3 ,S15 of block B * L62880 1111111111111111* L62896 01100110111101111111111111111111* NOTE S23,S4 of block C * L62928 11111111111111111111111011111111* NOTE S3 ,S15 of block C * L62960 1111111111111111* L62976 11101110111101111111111111111111* NOTE S23,S4 of block D * L63008 11111111111111111111111111111111* NOTE S3 ,S15 of block D * L63040 1111111111111111* L63056 11111111001111000011001100001100* NOTE S0 ,S1 of block A * L63088 00100000010001000010010001100110* NOTE S17,S2 of block A * L63120 1111111111111111* L63136 00001100001111000011111111111111* NOTE S0 ,S1 of block B * L63168 01101111011101000010010010111000* NOTE S17,S2 of block B * L63200 1111111111111111* L63216 00000000111100000011111100111111* NOTE S0 ,S1 of block C * L63248 01110100011101000001111110011001* NOTE S17,S2 of block C * L63280 1111111111111111* L63296 11001100111100111111111111111111* NOTE S0 ,S1 of block D * L63328 11101111011101111101111110101011* NOTE S17,S2 of block D * L63360 1111111111111111* L63376 11001100111100111111111110111100* NOTE S16,S12 of block H * L63408 00011001100110011000110100010001* NOTE S14,S11 of block H * L63440 1111111111111111* L63456 11001100110000111111011001100110* NOTE S16,S12 of block G * L63488 10011001100110011000000100010001* NOTE S14,S11 of block G * L63520 1111111111111111* L63536 11001100111111001111111111110111* NOTE S16,S12 of block F * L63568 11011001100110010011100100010001* NOTE S14,S11 of block F * L63600 1111111111111111* L63616 11000000001110011111110011110011* NOTE S16,S12 of block E * L63648 10011001101110111001100000000001* NOTE S14,S11 of block E * L63680 1111111111111111* L63696 01000100010000100000000000000100* NOTE S9 ,S6 of block H * L63728 00000000000000000000000000000000* NOTE S13,S10 of block H * L63760 1111111111111111* L63776 01000100010000000000000000000000* NOTE S9 ,S6 of block G * L63808 00000000000000000000000000000000* NOTE S13,S10 of block G * L63840 1111111111111111* L63856 01000100000000000000000110011001* NOTE S9 ,S6 of block F * L63888 00000000000000000000000000000000* NOTE S13,S10 of block F * L63920 1111111111111111* L63936 01000000000000000000000110011001* NOTE S9 ,S6 of block E * L63968 00000000000000000000000000000000* NOTE S13,S10 of block E * L64000 1111111111111111* L64016 01100110011001101110011000100010* NOTE S20,S18 of block H * L64048 01000000000000000110001001100110* NOTE S8 ,S21 of block H * L64080 1111111111111111* L64096 01100110011001100110011001100110* NOTE S20,S18 of block G * L64128 00000000000000000010011001100110* NOTE S8 ,S21 of block G * L64160 1111111111111111* L64176 01100110011001100110110011110100* NOTE S20,S18 of block F * L64208 00000000000000000100000001100110* NOTE S8 ,S21 of block F * L64240 1111111111111111* L64256 01100110011100000110111011000111* NOTE S20,S18 of block E * L64288 00000000000000000000001001100110* NOTE S8 ,S21 of block E * L64320 1111111111111111* L64336 00000000000000000000000000000000* NOTE S7 ,S19 of block H * L64368 11111101111111111111111111111111* NOTE S22,S5 of block H * L64400 1111111111111111* L64416 00000000000000000000000000000000* NOTE S7 ,S19 of block G * L64448 11111111111111111111111111111111* NOTE S22,S5 of block G * L64480 1111111111111111* L64496 00000000000000000000000000000000* NOTE S7 ,S19 of block F * L64528 11111111111111111111111111111111* NOTE S22,S5 of block F * L64560 1111111111111111* L64576 00000000000000000000000000000000* NOTE S7 ,S19 of block E * L64608 11111111111111111001111111111111* NOTE S22,S5 of block E * L64640 1111111111111111* L64656 11101110111101111111111111111110* NOTE S23,S4 of block H * L64688 11111110111111111111111111111111* NOTE S3 ,S15 of block H * L64720 1111111111111111* L64736 11101110111001111111111111111111* NOTE S23,S4 of block G * L64768 11111111111111111111111111111111* NOTE S3 ,S15 of block G * L64800 1111111111111111* L64816 11101110111111101111111111111111* NOTE S23,S4 of block F * L64848 11111111111111111111111111111111* NOTE S3 ,S15 of block F * L64880 1111111111111111* L64896 11100110011111111111111111111111* NOTE S23,S4 of block E * L64928 11111111111111111111111111111111* NOTE S3 ,S15 of block E * L64960 1111111111111111* L64976 00000000001100001111111111001100* NOTE S0 ,S1 of block H * L65008 10100011011001110001110010001000* NOTE S17,S2 of block H * L65040 1111111111111111* L65056 00000000000000000011001100111111* NOTE S0 ,S1 of block G * L65088 01100100010001000001100010001000* NOTE S17,S2 of block G * L65120 1111111111111111* L65136 00000000000011000011111111111100* NOTE S0 ,S1 of block F * L65168 00101111011101001010000010001000* NOTE S17,S2 of block F * L65200 1111111111111111* L65216 00000000001111001111111111001111* NOTE S0 ,S1 of block E * L65248 11101011011001100010110110011000* NOTE S17,S2 of block E * NOTE UIM for block A and H * NOTE 0 0 0* L65280 111110111111111111111111111* NOTE Mux-0 of block A* L65307 111111111110111111111111111* NOTE Mux-1 of block H* L65334 111111111111111111111111111* NOTE Mux-0 of block H* L65361 111111111111011111111111111* NOTE Mux-1 of block A* L65388 111111111111111111111111111* NOTE Mux-2 of block A* L65415 111111111111111101111111111* NOTE Mux-3 of block H* L65442 111111111111111111111111111* NOTE Mux-2 of block H* L65469 111111111110111111111111111* NOTE Mux-3 of block A* L65496 111111111111111111101111111* NOTE Mux-4 of block A* L65523 111111111111111101111111111* NOTE Mux-5 of block H* L65550 111111111111101111111111111* NOTE Mux-4 of block H* L65577 111111111111111111111111110* NOTE Mux-5 of block A* L65604 111111111101111111111111111* NOTE Mux-6 of block A* L65631 111111111111101111111111111* NOTE Mux-7 of block H* L65658 111111111111111111110111111* NOTE Mux-6 of block H* L65685 111111111111111111111111110* NOTE Mux-7 of block A* L65712 111111111111111110111111111* NOTE Mux-8 of block A* L65739 111111101111111111111111111* NOTE Mux-9 of block H* L65766 111111111111111111111111111* NOTE Mux-8 of block H* L65793 111111101111111111111111111* NOTE Mux-9 of block A* L65820 111111111111111111011111111* NOTE Mux-10 of block A* L65847 111111111111111111111111111* NOTE Mux-11 of block H* L65874 111111111111111111111111111* NOTE Mux-10 of block H* L65901 111111110111111111111111111* NOTE Mux-11 of block A* L65928 111111111111110111111111111* NOTE Mux-12 of block A* L65955 111111111111111111111111111* NOTE Mux-13 of block H* L65982 111111111111111111111011111* NOTE Mux-12 of block H* L66009 111111111111111111101111111* NOTE Mux-13 of block A* L66036 101111111111111111111111111* NOTE Mux-14 of block A* L66063 111111111111111111111111111* NOTE Mux-15 of block H* L66090 111111111111111111111111111* NOTE Mux-14 of block H* L66117 111111111111111111111111111* NOTE Mux-15 of block A* L66144 111111111111111111111111111* NOTE Mux-16 of block A* L66171 111111111111111011111111111* NOTE Mux-17 of block H* L66198 111111111111111111111111111* NOTE Mux-16 of block H* L66225 111111111111101111111111111* NOTE Mux-17 of block A* L66252 111111111111111111111111111* NOTE Mux-18 of block A* L66279 111111111111111111111111111* NOTE Mux-19 of block H* L66306 111111111111111111111111111* NOTE Mux-18 of block H* L66333 111111111111111110111111111* NOTE Mux-19 of block A* L66360 111111111111111111111111111* NOTE Mux-20 of block A* L66387 111111111111111111111111111* NOTE Mux-21 of block H* L66414 111111111111111111111111111* NOTE Mux-20 of block H* L66441 111111111111111111111111111* NOTE Mux-21 of block A* L66468 111111011111111111111111111* NOTE Mux-22 of block A* L66495 111111111111111111111111111* NOTE Mux-23 of block H* L66522 111101111111111111111111111* NOTE Mux-22 of block H* L66549 111111111111111111111111111* NOTE Mux-23 of block A* L66576 111111111111111111111111111* NOTE Mux-24 of block A* L66603 111111111111111111111111011* NOTE Mux-25 of block H* L66630 111111101111111111111111111* NOTE Mux-24 of block H* L66657 111111111111111111111111111* NOTE Mux-25 of block A* L66684 111111111111111111111111111* NOTE Mux-26 of block A* L66711 111111111111111111111111101* NOTE Mux-27 of block H* L66738 111111111111111111111111111* NOTE Mux-26 of block H* L66765 111101111111111111111111111* NOTE Mux-27 of block A* L66792 111111101111111111111111111* NOTE Mux-28 of block A* L66819 101111111111111111111111111* NOTE Mux-29 of block H* L66846 111111111111111111111111111* NOTE Mux-28 of block H* L66873 011111111111111111111111111* NOTE Mux-29 of block A* L66900 111111111111111111111011111* NOTE Mux-30 of block A* L66927 111110111111111111111111111* NOTE Mux-31 of block H* L66954 111111111111111111111111111* NOTE Mux-30 of block H* L66981 111111111111111111111111111* NOTE Mux-31 of block A* L67008 111110111111111111111111111* NOTE Mux-32 of block A* L67035 111111111111111111111111111* NOTE Mux-33 of block H* L67062 111111111111111111111111111* NOTE Mux-32 of block H* L67089 111111111111111111111111111* NOTE Mux-33 of block A* L67116 111111111111111111111111111* NOTE Mux-34 of block A* L67143 111111111111111111111111111* NOTE Mux-35 of block H* L67170 111111111111111111111111111* NOTE Mux-34 of block H* L67197 111111111111111011111111111* NOTE Mux-35 of block A* L67224 111111111111111111111111111* NOTE Mux-36 of block A* L67251 111111111111011111111111111* NOTE Mux-37 of block H* L67278 111111111111111111111111111* NOTE Mux-36 of block H* L67305 111111111111111011111111111* NOTE Mux-37 of block A* L67332 111111111111111111111111111* NOTE Mux-38 of block A* L67359 111111111111111111111111111* NOTE Mux-39 of block H* L67386 111111111111111111111111111* NOTE Mux-38 of block H* L67413 111111011111111111111111111* NOTE Mux-39 of block A* NOTE UIM for block B and G * NOTE 0 0 0* L67440 111110111111111111111111111* NOTE Mux-0 of block B* L67467 111111111111111110111111111* NOTE Mux-1 of block G* L67494 111111111111111111111111111* NOTE Mux-0 of block G* L67521 111111111111111111111111011* NOTE Mux-1 of block B* L67548 111111111111111111111111111* NOTE Mux-2 of block B* L67575 111111111111111111111011111* NOTE Mux-3 of block G* L67602 111111111111111111111111111* NOTE Mux-2 of block G* L67629 111111111111111011111111111* NOTE Mux-3 of block B* L67656 111111111111111111101111111* NOTE Mux-4 of block B* L67683 111111111111110111111111111* NOTE Mux-5 of block G* L67710 111111111111111111110111111* NOTE Mux-4 of block G* L67737 111110111111111111111111111* NOTE Mux-5 of block B* L67764 111111111101111111111111111* NOTE Mux-6 of block B* L67791 111111111111011111111111111* NOTE Mux-7 of block G* L67818 111101111111111111111111111* NOTE Mux-6 of block G* L67845 111111111111111111111111111* NOTE Mux-7 of block B* L67872 111111111111111111111111111* NOTE Mux-8 of block B* L67899 111111110111111111111111111* NOTE Mux-9 of block G* L67926 111111111111111111111111111* NOTE Mux-8 of block G* L67953 111111111111111111111111101* NOTE Mux-9 of block B* L67980 111111111111111111111101111* NOTE Mux-10 of block B* L68007 111111110111111111111111111* NOTE Mux-11 of block G* L68034 111111111111111111111101111* NOTE Mux-10 of block G* L68061 111111110111111111111111111* NOTE Mux-11 of block B* L68088 111111111111111111111101111* NOTE Mux-12 of block B* L68115 111111111111111110111111111* NOTE Mux-13 of block G* L68142 111111111111110111111111111* NOTE Mux-12 of block G* L68169 111111111111111111111111111* NOTE Mux-13 of block B* L68196 111111111111111111111111111* NOTE Mux-14 of block B* L68223 111111101111111111111111111* NOTE Mux-15 of block G* L68250 111111111111111111111111111* NOTE Mux-14 of block G* L68277 111111111111111111101111111* NOTE Mux-15 of block B* L68304 111111111111111111111111111* NOTE Mux-16 of block B* L68331 111111111111101111111111111* NOTE Mux-17 of block G* L68358 111111111111111111111111111* NOTE Mux-16 of block G* L68385 111110111111111111111111111* NOTE Mux-17 of block B* L68412 111111111111111110111111111* NOTE Mux-18 of block B* L68439 111111111111111111111111111* NOTE Mux-19 of block G* L68466 111111111111111111111111111* NOTE Mux-18 of block G* L68493 111011111111111111111111111* NOTE Mux-19 of block B* L68520 111111111111111111111111111* NOTE Mux-20 of block B* L68547 111111111111111111111111111* NOTE Mux-21 of block G* L68574 111111111111111111111111111* NOTE Mux-20 of block G* L68601 011111111111111111111111111* NOTE Mux-21 of block B* L68628 111111011111111111111111111* NOTE Mux-22 of block B* L68655 111111111111111111111111101* NOTE Mux-23 of block G* L68682 111111101111111111111111111* NOTE Mux-22 of block G* L68709 111111111111111111111111111* NOTE Mux-23 of block B* L68736 111111111111111111011111111* NOTE Mux-24 of block B* L68763 111111111111111111111111111* NOTE Mux-25 of block G* L68790 111111111111111111111111111* NOTE Mux-24 of block G* L68817 111111111111111111101111111* NOTE Mux-25 of block B* L68844 111111111111111111111111111* NOTE Mux-26 of block B* L68871 111111011111111111111111111* NOTE Mux-27 of block G* L68898 111111111111111111101111111* NOTE Mux-26 of block G* L68925 101111111111111111111111111* NOTE Mux-27 of block B* L68952 111111111111111111111111111* NOTE Mux-28 of block B* L68979 111111111111111111111111111* NOTE Mux-29 of block G* L69006 111111111111111111111111111* NOTE Mux-28 of block G* L69033 111101111111111111111111111* NOTE Mux-29 of block B* L69060 111111111111111111111111111* NOTE Mux-30 of block B* L69087 110111111111111111111111111* NOTE Mux-31 of block G* L69114 111111110111111111111111111* NOTE Mux-30 of block G* L69141 111111111111111111111111101* NOTE Mux-31 of block B* L69168 111111111111111101111111111* NOTE Mux-32 of block B* L69195 111101111111111111111111111* NOTE Mux-33 of block G* L69222 111111111101111111111111111* NOTE Mux-32 of block G* L69249 111111111111111111111111111* NOTE Mux-33 of block B* L69276 111111111111111111111111111* NOTE Mux-34 of block B* L69303 111111111111111111111111101* NOTE Mux-35 of block G* L69330 111111111101111111111111111* NOTE Mux-34 of block G* L69357 111111111111111011111111111* NOTE Mux-35 of block B* L69384 111111111111111111111111111* NOTE Mux-36 of block B* L69411 111111111111111101111111111* NOTE Mux-37 of block G* L69438 111111111111111111111111111* NOTE Mux-36 of block G* L69465 111111111111101111111111111* NOTE Mux-37 of block B* L69492 111111111111111111111111111* NOTE Mux-38 of block B* L69519 111111111111011111111111111* NOTE Mux-39 of block G* L69546 111111111111111111111111111* NOTE Mux-38 of block G* L69573 111111111111111111111110111* NOTE Mux-39 of block B* NOTE UIM for block C and F * NOTE 0 0 0* L69600 111111111101111111111111111* NOTE Mux-0 of block C* L69627 111111111111111111111111111* NOTE Mux-1 of block F* L69654 111111111111111111111111111* NOTE Mux-0 of block F* L69681 111111111111111111111111110* NOTE Mux-1 of block C* L69708 111111111111111111111111111* NOTE Mux-2 of block C* L69735 011111111111111111111111111* NOTE Mux-3 of block F* L69762 111111111111111111111111011* NOTE Mux-2 of block F* L69789 111111111110111111111111111* NOTE Mux-3 of block C* L69816 111111111111111111110111111* NOTE Mux-4 of block C* L69843 111111111101111111111111111* NOTE Mux-5 of block F* L69870 101111111111111111111111111* NOTE Mux-4 of block F* L69897 111110111111111111111111111* NOTE Mux-5 of block C* L69924 111110111111111111111111111* NOTE Mux-6 of block C* L69951 111111111111111111111111111* NOTE Mux-7 of block F* L69978 111110111111111111111111111* NOTE Mux-6 of block F* L70005 111111111111111111111111111* NOTE Mux-7 of block C* L70032 111111111111111111111111111* NOTE Mux-8 of block C* L70059 111111111111111111111111111* NOTE Mux-9 of block F* L70086 111101111111111111111111111* NOTE Mux-8 of block F* L70113 111111111111111111111111111* NOTE Mux-9 of block C* L70140 111111111111111111011111111* NOTE Mux-10 of block C* L70167 111111110111111111111111111* NOTE Mux-11 of block F* L70194 111111111111111111011111111* NOTE Mux-10 of block F* L70221 111111110111111111111111111* NOTE Mux-11 of block C* L70248 111111111111110111111111111* NOTE Mux-12 of block C* L70275 111111111111111111111111111* NOTE Mux-13 of block F* L70302 111111110111111111111111111* NOTE Mux-12 of block F* L70329 111111111111111110111111111* NOTE Mux-13 of block C* L70356 111111111111111111111111111* NOTE Mux-14 of block C* L70383 111111111111111111110111111* NOTE Mux-15 of block F* L70410 111111111111110111111111111* NOTE Mux-14 of block F* L70437 111011111111111111111111111* NOTE Mux-15 of block C* L70464 111111111111111111111111111* NOTE Mux-16 of block C* L70491 111111111111110111111111111* NOTE Mux-17 of block F* L70518 111111111111111111111111111* NOTE Mux-16 of block F* L70545 111111111111111110111111111* NOTE Mux-17 of block C* L70572 111111111111111111111111111* NOTE Mux-18 of block C* L70599 111111111111111111111111111* NOTE Mux-19 of block F* L70626 111111111111111111111111111* NOTE Mux-18 of block F* L70653 111111111111111110111111111* NOTE Mux-19 of block C* L70680 111111111111111111111111111* NOTE Mux-20 of block C* L70707 111111111111111111110111111* NOTE Mux-21 of block F* L70734 111111111101111111111111111* NOTE Mux-20 of block F* L70761 111111111111111111011111111* NOTE Mux-21 of block C* L70788 111111011111111111111111111* NOTE Mux-22 of block C* L70815 111111111111111111111111011* NOTE Mux-23 of block F* L70842 111111111111111111111111111* NOTE Mux-22 of block F* L70869 101111111111111111111111111* NOTE Mux-23 of block C* L70896 111111111111111111111111111* NOTE Mux-24 of block C* L70923 111111111111111111111111111* NOTE Mux-25 of block F* L70950 101111111111111111111111111* NOTE Mux-24 of block F* L70977 011111111111111111111111111* NOTE Mux-25 of block C* L71004 111111111111111111111111111* NOTE Mux-26 of block C* L71031 111111111111111110111111111* NOTE Mux-27 of block F* L71058 111111111111111111111111111* NOTE Mux-26 of block F* L71085 111111111111111111011111111* NOTE Mux-27 of block C* L71112 111111111111111111111111111* NOTE Mux-28 of block C* L71139 111111111111101111111111111* NOTE Mux-29 of block F* L71166 111111111111111111111111111* NOTE Mux-28 of block F* L71193 111111111110111111111111111* NOTE Mux-29 of block C* L71220 111111111111110111111111111* NOTE Mux-30 of block C* L71247 111110111111111111111111111* NOTE Mux-31 of block F* L71274 111111111111111111111111111* NOTE Mux-30 of block F* L71301 111110111111111111111111111* NOTE Mux-31 of block C* L71328 111111111111111111111111111* NOTE Mux-32 of block C* L71355 111111111111111111011111111* NOTE Mux-33 of block F* L71382 110111111111111111111111111* NOTE Mux-32 of block F* L71409 111111101111111111111111111* NOTE Mux-33 of block C* L71436 111111111111111111111111111* NOTE Mux-34 of block C* L71463 111111111111111011111111111* NOTE Mux-35 of block F* L71490 111111111111111111111111111* NOTE Mux-34 of block F* L71517 111111111111111011111111111* NOTE Mux-35 of block C* L71544 111111111111111111111111110* NOTE Mux-36 of block C* L71571 111111111111101111111111111* NOTE Mux-37 of block F* L71598 111111111111111111110111111* NOTE Mux-36 of block F* L71625 111111111111101111111111111* NOTE Mux-37 of block C* L71652 111111111111111111111111111* NOTE Mux-38 of block C* L71679 111111111111111111111111111* NOTE Mux-39 of block F* L71706 111111110111111111111111111* NOTE Mux-38 of block F* L71733 111111111111111111111111111* NOTE Mux-39 of block C* NOTE UIM for block D and E * NOTE 0 0 0* L71760 111111111111111111111111111* NOTE Mux-0 of block D* L71787 111111111111111111101111111* NOTE Mux-1 of block E* L71814 111110111111111111111111111* NOTE Mux-0 of block E* L71841 111111111111111111111111111* NOTE Mux-1 of block D* L71868 110111111111111111111111111* NOTE Mux-2 of block D* L71895 111111111111111111111111110* NOTE Mux-3 of block E* L71922 111111101111111111111111111* NOTE Mux-2 of block E* L71949 111110111111111111111111111* NOTE Mux-3 of block D* L71976 111111111111111111110111111* NOTE Mux-4 of block D* L72003 011111111111111111111111111* NOTE Mux-5 of block E* L72030 111111111111111111111111111* NOTE Mux-4 of block E* L72057 111111111111111111111111111* NOTE Mux-5 of block D* L72084 111111111111111111111111111* NOTE Mux-6 of block D* L72111 111111111111101111111111111* NOTE Mux-7 of block E* L72138 111111111111111110111111111* NOTE Mux-6 of block E* L72165 111111011111111111111111111* NOTE Mux-7 of block D* L72192 111111011111111111111111111* NOTE Mux-8 of block D* L72219 111111111111111110111111111* NOTE Mux-9 of block E* L72246 111111011111111111111111111* NOTE Mux-8 of block E* L72273 111111101111111111111111111* NOTE Mux-9 of block D* L72300 111111111101111111111111111* NOTE Mux-10 of block D* L72327 111111111110111111111111111* NOTE Mux-11 of block E* L72354 111111111111111111111111111* NOTE Mux-10 of block E* L72381 111111110111111111111111111* NOTE Mux-11 of block D* L72408 111111111111111111111111111* NOTE Mux-12 of block D* L72435 111111111111111101111111111* NOTE Mux-13 of block E* L72462 111111111111111111111111111* NOTE Mux-12 of block E* L72489 111011111111111111111111111* NOTE Mux-13 of block D* L72516 111111101111111111111111111* NOTE Mux-14 of block D* L72543 111111111111111111101111111* NOTE Mux-15 of block E* L72570 111111111111111111111111111* NOTE Mux-14 of block E* L72597 111011111111111111111111111* NOTE Mux-15 of block D* L72624 111111111111111101111111111* NOTE Mux-16 of block D* L72651 111111111111111111111111111* NOTE Mux-17 of block E* L72678 111111111111111111111111111* NOTE Mux-16 of block E* L72705 111111111111111011111111111* NOTE Mux-17 of block D* L72732 110111111111111111111111111* NOTE Mux-18 of block D* L72759 110111111111111111111111111* NOTE Mux-19 of block E* L72786 111111111111111111110111111* NOTE Mux-18 of block E* L72813 111111111111111111111111111* NOTE Mux-19 of block D* L72840 111111111111111111111111111* NOTE Mux-20 of block D* L72867 111111111111011111111111111* NOTE Mux-21 of block E* L72894 111111111111111111111111111* NOTE Mux-20 of block E* L72921 111111111111111111111111111* NOTE Mux-21 of block D* L72948 111111111111111111111111111* NOTE Mux-22 of block D* L72975 111111111111101111111111111* NOTE Mux-23 of block E* L73002 111111111111111111111111111* NOTE Mux-22 of block E* L73029 111111111111111111111111110* NOTE Mux-23 of block D* L73056 111111111111111111011111111* NOTE Mux-24 of block D* L73083 111111111111111111111110111* NOTE Mux-25 of block E* L73110 111111111111111111111111111* NOTE Mux-24 of block E* L73137 111111111111111111111111111* NOTE Mux-25 of block D* L73164 111111111111110111111111111* NOTE Mux-26 of block D* L73191 011111111111111111111111111* NOTE Mux-27 of block E* L73218 111111111111111111111111111* NOTE Mux-26 of block E* L73245 111111111111111111111011111* NOTE Mux-27 of block D* L73272 111110111111111111111111111* NOTE Mux-28 of block D* L73299 111111111111111111111011111* NOTE Mux-29 of block E* L73326 111111111111111111011111111* NOTE Mux-28 of block E* L73353 111111110111111111111111111* NOTE Mux-29 of block D* L73380 111111111111111111111111111* NOTE Mux-30 of block D* L73407 111111101111111111111111111* NOTE Mux-31 of block E* L73434 111111111111111111111111111* NOTE Mux-30 of block E* L73461 111111111111101111111111111* NOTE Mux-31 of block D* L73488 111111111111111111111111111* NOTE Mux-32 of block D* L73515 111111111111111111111111111* NOTE Mux-33 of block E* L73542 111111111101111111111111111* NOTE Mux-32 of block E* L73569 111111111111111111111111110* NOTE Mux-33 of block D* L73596 111111111111111111111111111* NOTE Mux-34 of block D* L73623 111111111111110111111111111* NOTE Mux-35 of block E* L73650 111111111111111111111111111* NOTE Mux-34 of block E* L73677 111111111111101111111111111* NOTE Mux-35 of block D* L73704 111111111111111111111111111* NOTE Mux-36 of block D* L73731 111111111111111111111111111* NOTE Mux-37 of block E* L73758 111111111111111111111111111* NOTE Mux-36 of block E* L73785 111111111111111111111101111* NOTE Mux-37 of block D* L73812 110111111111111111111111111* NOTE Mux-38 of block D* L73839 111111111111111011111111111* NOTE Mux-39 of block E* L73866 011111111111111111111111111* NOTE Mux-38 of block E* L73893 111111111111111111111111111* NOTE Mux-39 of block D* NOTE 6 global OE 0 0 0* L73920 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 * NOTE device configuration bits* NOTE 0 0 0* L74082 00111111111011110000000111111111* NOTE Special Purpose Bits (JTAG) * L74114 1111* NOTE UES bits* L74118 1111111111111111* NOTE Reserved bits * L74134 00* C77B0* 0000