/* This file is generated by ttl2pld.pl */ /* Please don't edit it. */ Name M8416V1 ; PartNo cpld ; Date 5/17/2018 ; Revision 01 ; Designer ; Company ; Assembly None ; Location E1 ; Device f1508ispplcc84; $DEFINE OPTIMIZE $UNDEF NOPTIMIZE /* Input Pins */ pin = cpma_disable_low; pin = d_low; pin = f_low; pin = f_set_low; pin = ind1_low; pin = ind2_low; pin = initialize; pin = int_in_prog_low; pin = ir0_low; pin = ir1_low; pin = key_control_low; pin = la_en_low; pin = link_low; pin = mams_ld_ctl; pin = md00_low; pin = md01_low; pin = md02_low; pin = md03_low; pin = md04_low; pin = md05_low; pin = md06_low; pin = md07_low; pin = md08_low; pin = md09_low; pin = md10_low; pin = md11_low; pin = p4_pclr; pin = p4_pclr_low; pin = pause_low; pin = power_ok_low; pin = pulse_la; pin = run_low; pin = tp3; pin = tp4; pin = ts1_low; pin = ts3_low; pin = ts4_low; /* Output Pins */ pin = c0_low; pin = c1_low; pin = data00_low; pin = data01_low; pin = data02_low; pin = data03_low; pin = data04_low; pin = data05_low; pin = data06_low; pin = data07_low; pin = data08_low; pin = data09_low; pin = data10_low; pin = data11_low; pin = ema0_low; pin = ema1_low; pin = ema2_low; pin = int_rqst_low; pin = internal_io; pin = n_t_37x; pin = skip_low; pin = user_mode_low; node gdollar_0; node gdollar_1; node gdollar_2; node p3_bpause; node p3_e2cfrom_low; node gdollar_3; node gdollar_4; node p4_fatal_lp_0_rp; node p4_usint_low; node n_t_168x; node p6_usm_low; node n_t_176x; node p2_elar_low; node p2_emaen_low; node gdollar_5; node gdollar_6; node gdollar_8; node gdollar_9; node gdollar_10; node gdollar_11; node p4_init_en; node p4_dfen_low; node p4_int_in; node gdollar_12; node gdollar_13; node gdollar_14; node gdollar_15; node p1_rr1; node p1_rr3; node p1_rr4; node p1_rr2; node p1_rr0; node p1_us1; node p1_us2; node p1_us4; node p1_us5; node p1_us3; node p1_us0; node p2_ifa_low; node p2_ifb_low; node p2_ifc_low; node gdollar_16; node gdollar_17; node gdollar_18; node p2_dfa_low; node p2_dfb_low; node p2_dfc_low; node p2_sf0; node p2_sf1; node p2_sf2; node p2_sf3; node p2_sf4; node p2_sf5; /* Internal nodes */ $IFNDEF OPTIMIZE node n_t_100x; node n_t_102x; node n_t_104x; node n_t_105x; node n_t_106x; node n_t_109x; node n_t_10x; node n_t_111x; node n_t_113x; node n_t_114x; node n_t_115x; node n_t_116x; node n_t_117x; node n_t_118x; node n_t_119x; node n_t_11x; node n_t_120x; node n_t_121x; node n_t_122x; node n_t_123x; node n_t_124x; node n_t_125x; node n_t_126x; node n_t_129x; node n_t_12x; node n_t_133x; node n_t_134x; node n_t_135x; node n_t_136x; node n_t_15x; node n_t_169x; node n_t_16x; node n_t_172x; node n_t_173x; node n_t_174x; node n_t_175x; node n_t_177x; node n_t_18x; node n_t_19x; node n_t_1x; node n_t_20x; node n_t_27x; node n_t_29x; node n_t_2x; node n_t_31x; node n_t_32x; node n_t_33x; node n_t_38x; node n_t_39x; node n_t_3x; node n_t_40x; node n_t_42x; node n_t_49x; node n_t_50x; node n_t_69x; node n_t_6x; node n_t_72x; node n_t_77x; node n_t_89x; node n_t_8x; node n_t_90x; node n_t_91x; node n_t_92x; node n_t_93x; node n_t_95x; node n_t_97x; node n_t_9x; $ENDIF $IFNDEF NOPTIMIZE node p1_3v; node p1_bfl0; node p1_bfl1; node p1_bfl2; node p1_enrf_low; node p1_over; node p2_3v; node p2_rst_low; node p2_suf_out; node p3_bd; node p3_bf; node p3_cfrom_low; node p3_decen_low; node p3_hos_low; node p3_inioen; node p4_3v; node p4_bts1; node p4_cdf_low; node p4_cif_low; node p4_cint_low; node p4_ckdf; node p4_ckif; node p4_ckifb; node p4_cksv; node p4_ckuf; node p4_ckufb; node p4_cuf_low; node p4_cumb_low; node p4_fatal_low; node p4_gtf_low; node p4_gts_low; node p4_int; node p4_jmp_or_jms; node p4_let_io_low; node p4_lrr_low; node p4_lusr_low; node p4_lxa; node p4_new_fld; node p4_plxa_low; node p4_rdf_low; node p4_rib_low; node p4_rif_low; node p4_rmf_low; node p4_rrr_low; node p4_rtf_low; node p4_rts_low; node p4_rusr_low; node p4_sint_low; node p4_suf_low; node p6_disf_low; node rd0_low; node rd10_low; node rd11_low; node rd1_low; node rd2_low; node rd3_low; node rd4_low; node rd5_low; node rd6_low; node rd7_low; node rd8_low; node rd9_low; $ENDIF /* Code nodes */ /* Equations */ /* c1: cpol_use */ /* c2: c_us */ /* c3: c_us */ /* c4: c_us */ /* c5: c_us */ /* c6: c_us */ /* c7: c_us */ /* c8: cpol_use */ /* c9: c_us */ /* c10: c_us */ /* c11: c_us */ /* c12: 100pf */ /* c13: c_us */ /* c14: cpol_use */ /* c15: c_us */ /* c16: cpol_use */ /* c17: c_us */ /* c18: c_us */ /* c20: c_us */ /* c21: c_us */ /* c22: c_us */ /* c23: c_us */ /* c24: cpol_use */ /* c25: c_us */ /* c26: c_us */ /* c27: c_us */ /* c28: c_us */ /* c29: c_us */ /* c31: c_us */ /* c32: c_us */ /* c33: c_us */ /* c34: c_us */ /* c35: c_us */ /* c36: c_us */ /* e1: sn74173 */ gdollar_0.ar = p4_pclr; gdollar_0.ck = !p4_plxa_low; gdollar_0.ce = 'b'1; gdollar_0.d = data08_low; gdollar_0.oe = !p2_elar_low; /* ema2_low = !(!gdollar_0 & !p2_elar_low & !p2_elar_low); */ gdollar_1.ar = p4_pclr; gdollar_1.ck = !p4_plxa_low; gdollar_1.ce = 'b'1; gdollar_1.d = data07_low; gdollar_1.oe = !p2_elar_low; /* ema1_low = !(!gdollar_1 & !p2_elar_low & !p2_elar_low); */ gdollar_2.ar = p4_pclr; gdollar_2.ck = !p4_plxa_low; gdollar_2.ce = 'b'1; gdollar_2.d = data06_low; gdollar_2.oe = !p2_elar_low; /* ema0_low = !(!gdollar_2 & !p2_elar_low & !p2_elar_low); */ /* e2: sn7404 */ /* e3: ds8640n */ /* e4: n8881n */ /* rd0_low = !(!link_low & n_t_27x); */ /* e5: sn7404 */ /* e6: sn7400 */ n_t_27x = !(p4_gtf_low & p4_gts_low); /* e7: sn7404 */ /* e8: n8881n */ /* user_mode_low = !(!md02_low & !p6_usm_low); */ /* user_mode_low = !(p1_enrf_low & !p6_usm_low); */ /* rd5_low = !(n_t_168x & p2_suf_out); */ /* rd3_low = !(!p4_fatal_lp_0_rp & !p4_gtf_low); */ /* e9: sn7420 */ /* e11: ds8640n */ /* e12: ds8641n */ /* data01_low = !(!rd1_low & n_t_77x & n_t_77x); */ /* data00_low = !(!rd0_low & n_t_77x & n_t_77x); */ /* data02_low = !(!rd2_low & n_t_77x & n_t_77x); */ /* data03_low = !(!rd3_low & n_t_77x & n_t_77x); */ /* e13: ds8641n */ /* data05_low = !(!rd5_low & n_t_77x & n_t_77x); */ /* data04_low = !(!rd4_low & n_t_77x & n_t_77x); */ /* data06_low = !(!rd6_low & n_t_77x & n_t_77x); */ /* data07_low = !(!rd7_low & n_t_77x & n_t_77x); */ /* e14: dec23007c6 */ /* p3_inioen = !(!(!(md03_low & md06_low & !md10_low # md03_low & !md05_low & md06_low # md03_low & !md06_low & md07_low & md08_low & md09_low & p3_bpause & p6_usm_low # md03_low & !p6_usm_low # md03_low & !md07_low & md08_low # md03_low & !md06_low & !md09_low # md03_low & !md08_low & p3_bpause & p6_usm_low # !p3_bpause & p6_usm_low # !md03_low))); */ /* p3_decen_low = 'b'1; */ /* p3_cfrom_low = 'b'1; */ /* p3_hos_low = 'b'1; */ /* !c0_low = !(!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md06_low & md07_low # md03_low & md04_low & !md07_low & md08_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low # md03_low & !md05_low & md06_low # md03_low & md09_low # md03_low & md04_low & md05_low & !md08_low & !md09_low # md03_low & !md04_low & !md09_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); */ /* !c1_low = !(!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md06_low & md07_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low # md03_low & !md05_low & md06_low # md03_low & md04_low & md05_low & md06_low & md07_low & md08_low & !md09_low & md10_low & !md11_low # md03_low & md09_low # md03_low & md04_low & md05_low & !md08_low & !md09_low # md03_low & !md07_low & md08_low # md03_low & !md04_low & !md09_low # md03_low & !md04_low & md05_low & md07_low & md08_low & md10_low & md11_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); */ /* n_t_72x = !(!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md07_low & md08_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low & md06_low # md03_low & md04_low & !md08_low & md11_low # !md11_low # md03_low & md09_low # md03_low & !md06_low & !md09_low # md03_low & !md04_low & md05_low & md07_low & md08_low & md10_low & md11_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); */ /* e18: sn7400 */ n_t_135x = !(tp3 & p4_new_fld); n_t_136x = !(tp3 & !p4_cuf_low); /* e19: n8881n */ /* c1_low = c1_low; */ /* c0_low = c0_low; */ /* e20: sn7401 */ /* n_t_77x = !(p4_bts1 & ind2_low); */ /* n_t_77x = !(n_t_72x & !ts3_low); */ /* e21: sn7410 */ n_t_69x = !(!md01_low & p3_bf & !md00_low); n_t_89x = !(!md06_low & !md07_low & !md08_low); /* e22: sn7404 */ /* e24: sn7410 */ !n_t_102x = !(n_t_32x & p3_hos_low & n_t_92x); n_t_97x = !(n_t_102x & p4_usint_low & p4_usint_low); !internal_io = !(n_t_126x & p4_let_io_low); /* e25: ds8640n */ /* e26: sn7475 */ p3_bpause.ap = !tp3 & !pause_low; p3_bpause.ar = !tp3 & pause_low; p3_bpause.ck = 'b'0; p3_bpause.d = 'b'0; p3_e2cfrom_low.ap = !tp3 & !ts4_low; p3_e2cfrom_low.ar = !tp3 & ts4_low; p3_e2cfrom_low.ck = 'b'0; p3_e2cfrom_low.d = 'b'0; gdollar_3.ck = 'b'0; gdollar_3.d = 'b'0; gdollar_4.ck = 'b'0; gdollar_4.d = 'b'0; /* e27: sn7411 */ /* e28: dec23440a2 */ /* p4_cif_low = !(!(md10_low # n_t_29x # md04_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); */ /* n_t_29x = !(!(!(md05_low & md09_low)) & (!p3_cfrom_low & !p3_e2cfrom_low)); */ /* p4_let_io_low = !(!(md04_low & md05_low & !md09_low & !n_t_89x & p4_cdf_low & p4_cif_low # md04_low & md09_low & !n_t_89x & p4_cdf_low & p4_cif_low # md04_low & n_t_89x & p4_cdf_low & p4_cif_low # !md04_low & p4_cdf_low & p4_cif_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); */ /* p4_cdf_low = !(!(md11_low # n_t_29x # md04_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); */ /* e29: sn7402 */ n_t_124x = !(md00_low # !md01_low); p4_int = !(!int_in_prog_low # !mams_ld_ctl); n_t_1x = !(!tp3 # p4_lusr_low); /* e30: sn7430 */ n_t_32x = !(!md00_low & !md01_low & md02_low & p4_let_io_low & p4_let_io_low & p4_let_io_low & p3_bf & !p6_usm_low); /* e31: sn74154 */ p4_gts_low = p3_decen_low # n_t_100x # !(md09_low & md06_low & md07_low & !md08_low); p4_rts_low = p3_decen_low # n_t_100x # !(md09_low & md06_low & !md07_low & md08_low); p4_lrr_low = p3_decen_low # n_t_100x # !(md09_low & !md06_low & md07_low & md08_low); p4_rrr_low = p3_decen_low # n_t_100x # !(md09_low & !md06_low & md07_low & !md08_low); p4_lusr_low = p3_decen_low # n_t_100x # !(md09_low & !md06_low & !md07_low & md08_low); p4_rusr_low = p3_decen_low # n_t_100x # !(md09_low & !md06_low & !md07_low & !md08_low); p4_cint_low = p3_decen_low # n_t_100x # !(!md09_low & md06_low & md07_low & md08_low); p4_rdf_low = p3_decen_low # n_t_100x # !(!md09_low & md06_low & md07_low & !md08_low); p4_rif_low = p3_decen_low # n_t_100x # !(!md09_low & md06_low & !md07_low & md08_low); p4_rib_low = p3_decen_low # n_t_100x # !(!md09_low & md06_low & !md07_low & !md08_low); p4_rmf_low = p3_decen_low # n_t_100x # !(!md09_low & !md06_low & md07_low & md08_low); p4_sint_low = p3_decen_low # n_t_100x # !(!md09_low & !md06_low & md07_low & !md08_low); p4_cuf_low = p3_decen_low # n_t_100x # !(!md09_low & !md06_low & !md07_low & md08_low); p4_suf_low = p3_decen_low # n_t_100x # !(!md09_low & !md06_low & !md07_low & !md08_low); /* e32: sn7474 */ p4_fatal_lp_0_rp.ar = !p4_fatal_low; p4_fatal_lp_0_rp.d = 'b'0; p4_fatal_lp_0_rp.ck = 'b'0; p4_fatal_lp_0_rp.ap = p4_usint_low; p4_usint_low.ar = !n_t_90x; p4_usint_low.d = n_t_95x; p4_usint_low.ck = tp3; p4_usint_low.ap = initialize; /* e33: sn7408 */ !n_t_95x = (n_t_97x & p4_cint_low); p4_cumb_low = (n_t_134x & n_t_136x); p1_enrf_low = (p4_rif_low & p4_rdf_low); /* e36: sn7420 */ p4_rtf_low = !(md04_low & !md09_low & !md11_low & p3_inioen); /* e38: sn7437 */ p4_fatal_low = !(!p4_usint_low & p4_int_in); n_t_3x = !(p4_rtf_low & p4_rts_low); /* e39: sn7404 */ /* e41: ds8640n */ n_t_31x = !(power_ok_low # ts1_low); p4_bts1 = !(ts1_low # !la_en_low); /* e42: n8881n */ /* int_rqst_low = n_t_102x; */ /* skip_low = !(!p4_usint_low & !p4_sint_low); */ /* internal_io = internal_io; */ /* int_rqst_low = p4_usint_low; */ /* e43: sn7420 */ p4_gtf_low = !(md11_low & md04_low & !md09_low & p3_inioen); /* e44: sn7404 */ /* e45: ds8641n */ /* data09_low = !(!rd9_low & n_t_77x & n_t_77x); */ /* data08_low = !(!rd8_low & n_t_77x & n_t_77x); */ /* data10_low = !(!rd10_low & n_t_77x & n_t_77x); */ /* data11_low = !(!rd11_low & n_t_77x & n_t_77x); */ /* e47: sn7402 */ !n_t_126x = !(p3_decen_low # n_t_100x); n_t_100x = !(!md09_low # md09_low); n_t_15x = !(!tp3 # p4_lrr_low); /* e48: sn7402 */ !p1_over = !(n_t_12x # n_t_8x); n_t_2x = !(p4_lxa # n_t_3x); /* e49: sn7410 */ !n_t_134x = !(!p4_cksv & p4_pclr_low & p4_plxa_low); n_t_113x = !(n_t_124x & p3_bf & md03_low); n_t_92x = !(!p6_usm_low & n_t_93x & p1_over); /* e50: sn7400 */ n_t_172x = !(n_t_168x & !p4_rmf_low); n_t_174x = !(n_t_172x & n_t_173x); n_t_173x = !(p4_rmf_low & !data05_low); n_t_175x = !(tp3 & !p4_suf_low); /* e51: ds8640n */ p4_lxa = !(key_control_low # la_en_low); /* e52: sp384n */ /* e53: sp384n */ /* e56: sn7410 */ p2_suf_out = !(p4_gtf_low & p4_rib_low & p4_gts_low); !n_t_16x = !(n_t_135x & n_t_136x & n_t_134x); /* e57: sn7400 */ n_t_129x = !(n_t_133x & n_t_92x); p4_plxa_low = !(p4_lxa & pulse_la); !p4_cksv = !(tp4 & p4_int); /* e58: sn7404 */ /* e59: sn7474 */ n_t_168x.ap = !n_t_177x; n_t_168x.d = !p6_usm_low; n_t_168x.ck = p4_cksv; n_t_168x.ar = !n_t_177x; p6_usm_low.ar = !n_t_177x; p6_usm_low.d = n_t_176x; p6_usm_low.ck = p4_ckuf; p6_usm_low.ap = !p4_cumb_low; /* e60: sn7474 */ n_t_176x.ap = !p4_cumb_low; n_t_176x.d = !n_t_174x; n_t_176x.ck = p4_ckufb; n_t_176x.ar = !n_t_175x; /* e61: ds8640n */ p4_jmp_or_jms = !(!ir1_low # ir0_low); p3_bd = !(d_low # p4_lxa); p3_bf = !(p4_lxa # f_low); /* e63: sn7474 */ p2_elar_low.ar = !p4_plxa_low; p2_elar_low.d = n_t_50x; p2_elar_low.ck = tp4; p2_elar_low.ap = !p4_pclr_low; p2_emaen_low.ap = !p2_rst_low; p2_emaen_low.d = !cpma_disable_low; p2_emaen_low.ck = tp4; p2_emaen_low.ar = !n_t_50x; /* e64: sn7402 */ n_t_33x = !(p4_let_io_low # ts3_low); /* e66: sn7400 */ n_t_106x = !(p3_bd & !p4_jmp_or_jms); n_t_37x = !(run_low & n_t_31x); n_t_105x = !(!p4_dfen_low & n_t_109x); /* e67: sn7408 */ n_t_122x = (n_t_121x & p4_suf_low); p2_rst_low = (p4_plxa_low & p4_pclr_low); n_t_111x = (n_t_92x & tp3); n_t_104x = (n_t_106x & n_t_105x); /* e68: sn7485 */ gdollar_5 = 'b'0; gdollar_6 = 'b'0; gdollar_8 = p1_us1 & md05_low # !p1_us1 & !md05_low; n_t_12x = n_t_10x & !gdollar_5 & !gdollar_6 & !p1_us0 & !gdollar_8; n_t_8x = !n_t_10x & !n_t_9x & !gdollar_5 & !gdollar_6 & !p1_us0 & !gdollar_8 # !p1_us1 & !gdollar_5 & !gdollar_6 & !p1_us0 & gdollar_8 # !p1_us0 & !gdollar_5 & !gdollar_6 & p1_us0 # !gdollar_5 & gdollar_6 # gdollar_5; /* e69: mc8266 */ n_t_133x = !(!n_t_111x # n_t_122x & n_t_111x); p4_ckuf = !(!n_t_111x # n_t_120x & n_t_111x); p4_ckufb = !(!n_t_111x # n_t_119x & n_t_111x); /* e70: sn7400 */ n_t_123x = !(p4_jmp_or_jms & p3_bd); p4_new_fld = !(n_t_123x & n_t_113x); n_t_93x = !(p4_cif_low & p4_cdf_low); n_t_125x = !(p4_rts_low & p4_rmf_low); /* e71: ds8640n */ /* e72: sp384n */ /* e73: sn74173 */ gdollar_9.ar = p4_pclr; gdollar_9.ck = tp4; gdollar_9.ce = 'b'1; gdollar_9.d = n_t_38x; gdollar_9.oe = !p2_emaen_low; /* ema2_low = !(!gdollar_9 & !p2_emaen_low & !p2_emaen_low); */ gdollar_10.ar = p4_pclr; gdollar_10.ck = tp4; gdollar_10.ce = 'b'1; gdollar_10.d = n_t_39x; gdollar_10.oe = !p2_emaen_low; /* ema1_low = !(!gdollar_10 & !p2_emaen_low & !p2_emaen_low); */ gdollar_11.ar = p4_pclr; gdollar_11.ck = tp4; gdollar_11.ce = 'b'1; gdollar_11.d = n_t_40x; gdollar_11.oe = !p2_emaen_low; /* ema0_low = !(!gdollar_11 & !p2_emaen_low & !p2_emaen_low); */ /* e75: sp384n */ n_t_109x = !mams_ld_ctl # f_set_low; /* e76: sn7474 */ p4_init_en.ap = !p4_3v; p4_init_en.d = 'b'1; p4_init_en.ck = p4_pclr_low; p4_init_en.ar = !n_t_37x; p4_dfen_low.ar = !n_t_91x; p4_dfen_low.d = n_t_104x; p4_dfen_low.ck = tp3; p4_dfen_low.ap = !n_t_134x; /* e77: sn7474 */ p4_int_in.ar = !n_t_16x; p4_int_in.d = 'b'0; p4_int_in.ck = 'b'0; p4_int_in.ap = !n_t_129x; /* e78: sn7485 */ gdollar_12 = p1_us2 & md09_low # !p1_us2 & !md09_low; gdollar_13 = p1_us3 & md06_low # !p1_us3 & !md06_low; gdollar_14 = p1_us4 & md07_low # !p1_us4 & !md07_low; gdollar_15 = p1_us5 & md08_low # !p1_us5 & !md08_low; n_t_10x = p1_3v & !gdollar_12 & !gdollar_13 & !gdollar_14 & !gdollar_15; n_t_11x = !p1_3v & !gdollar_12 & !gdollar_13 & !gdollar_14 & !gdollar_15 # !p1_us5 & !gdollar_12 & !gdollar_13 & !gdollar_14 & gdollar_15 # !p1_us4 & !gdollar_12 & !gdollar_13 & gdollar_14 # !p1_us3 & !gdollar_12 & gdollar_13 # !p1_us2 & gdollar_12; n_t_9x = !p1_3v & !gdollar_12 & !gdollar_13 & !gdollar_14 & !gdollar_15 # p1_us5 & !gdollar_12 & !gdollar_13 & !gdollar_14 & gdollar_15 # p1_us4 & !gdollar_12 & !gdollar_13 & gdollar_14 # p1_us3 & !gdollar_12 & gdollar_13 # p1_us2 & gdollar_12; /* e79: mc8266 */ p4_ckdf = !(n_t_134x & !n_t_111x # n_t_117x & n_t_111x); p4_ckif = !(n_t_134x & !n_t_111x # n_t_116x & n_t_111x); p4_ckifb = !(n_t_134x & !n_t_111x # n_t_114x & n_t_111x); /* e80: dec23211a1 */ /* n_t_121x = !(!(!(p4_cif_low & p4_rtf_low & !n_t_125x))); */ /* n_t_120x = !(!(!p4_new_fld)); */ /* n_t_119x = !(!(!(p4_rtf_low & !n_t_125x))); */ /* n_t_118x = !(!(!(p4_cdf_low & !n_t_125x))); */ /* n_t_117x = !(!(!(p4_cdf_low & p4_rtf_low & !n_t_125x))); */ /* n_t_116x = !(!(!p4_new_fld)); */ /* n_t_115x = !(!(!(p4_cif_low & !n_t_125x))); */ /* n_t_114x = !(!(!(p4_cif_low & p4_rtf_low & !n_t_125x))); */ /* e83: sn74367 */ /* rd8_low = !(!p6_disf_low & !p2_ifa_low); */ /* rd7_low = !(!p6_disf_low & !p2_ifb_low); */ /* rd6_low = !(!p6_disf_low & !p2_ifc_low); */ /* rd3_low = !(!n_t_169x & !p4_fatal_lp_0_rp); */ /* rd5_low = !(!n_t_169x & !p6_usm_low); */ /* e84: sn74367 */ /* n_t_40x = !(!n_t_42x & !p2_ifc_low); */ /* n_t_39x = !(!n_t_42x & !p2_ifb_low); */ /* n_t_38x = !(!n_t_42x & !p2_ifa_low); */ /* e85: sn74174 */ p1_rr1.ar = !p2_rst_low; p1_rr1.ck = n_t_15x; p1_rr1.d = !data08_low; p1_rr3.ar = !p2_rst_low; p1_rr3.ck = n_t_15x; p1_rr3.d = !data10_low; p1_rr4.ar = !p2_rst_low; p1_rr4.ck = n_t_15x; p1_rr4.d = !data11_low; p1_rr2.ar = !p2_rst_low; p1_rr2.ck = n_t_15x; p1_rr2.d = !data09_low; p1_rr0.ar = !p2_rst_low; p1_rr0.ck = n_t_15x; p1_rr0.d = !data07_low; /* e86: sn74368 */ /* rd7_low = !(!p4_rrr_low & p1_rr0); */ /* rd8_low = !(!p4_rrr_low & p1_rr1); */ /* rd9_low = !(!p4_rrr_low & p1_rr2); */ /* rd10_low = !(!p4_rrr_low & p1_rr3); */ /* rd11_low = !(!p4_rrr_low & p1_rr4); */ /* e87: dec23442a2 */ /* p1_bfl0 = !(!(!(!md06_low & !md07_low & !md08_low & !p1_rr2 & !p1_rr3 & p1_rr4 & !p6_usm_low # md06_low & md07_low & !md08_low & p1_rr2 & p1_rr3 & p1_rr4 # !md06_low & md07_low & !md08_low & !p1_rr2 & p1_rr3 & p1_rr4 & !p6_usm_low # md06_low & !md07_low & !md08_low & p1_rr2 & !p1_rr3 & p1_rr4 & !p6_usm_low # !md06_low & p1_rr2 & !p1_rr3 & !p1_rr4 & !p6_usm_low # !md06_low & md08_low & p1_rr2 & !p1_rr3 & !p1_rr4 & !p6_usm_low # !md06_low & md08_low & p1_rr2 & !p1_rr3 & !p6_usm_low # !md06_low & md07_low & p1_rr2 & !p1_rr4 & !p6_usm_low # !md06_low & md07_low & md08_low & p1_rr2 & !p1_rr4 & !p6_usm_low # !md06_low & md07_low & md08_low & p1_rr2 & !p6_usm_low # !md06_low & !md07_low & !p1_rr2 & p1_rr3 & !p6_usm_low # md06_low & !md07_low & p1_rr2 & p1_rr3 & !p6_usm_low # md06_low & md07_low & !md08_low & p6_usm_low # !md06_low & md07_low & p1_rr2 & !p1_rr3 & !p6_usm_low # md06_low & !p1_rr2 & !p1_rr3 & !p1_rr4 # md06_low & md08_low & !p1_rr2 & !p1_rr3 & !p1_rr4 # md06_low & md08_low & !p1_rr2 & !p1_rr3 # md06_low & md07_low & !p1_rr2 & !p1_rr4 # md06_low & md07_low & md08_low & !p1_rr2 & !p1_rr4 # md06_low & md07_low & md08_low & !p1_rr2 # md06_low & md07_low & !p1_rr2 & !p1_rr3 # md06_low & p6_usm_low # md06_low & md08_low & p6_usm_low))); */ /* p1_bfl1 = !(!(!(md07_low & !md08_low & p1_rr3 & p1_rr4 # md07_low & md08_low & !p1_rr3 & p1_rr4 # md07_low & md08_low & !p1_rr3 & !p1_rr4 # !md07_low & !md08_low & !p1_rr3 & p1_rr4 & !p6_usm_low # !md07_low & p1_rr3 & !p1_rr4 & !p6_usm_low # !md07_low & md08_low & p1_rr3 & !p1_rr4 & !p6_usm_low # !md07_low & md08_low & p1_rr3 & !p6_usm_low # md07_low & !p1_rr3 & !p1_rr4 # md07_low & p6_usm_low # md07_low & md08_low & p6_usm_low))); */ /* p1_bfl2 = !(!(!(!md08_low & p1_rr4 & !p6_usm_low # md08_low & !p1_rr4 # md08_low & p6_usm_low))); */ /* e88: sn74174 */ p1_us1.ar = !p2_rst_low; p1_us1.ck = n_t_1x; p1_us1.d = !data07_low; p1_us2.ar = !p2_rst_low; p1_us2.ck = n_t_1x; p1_us2.d = !data08_low; p1_us4.ar = !p2_rst_low; p1_us4.ck = n_t_1x; p1_us4.d = !data10_low; p1_us5.ar = !p2_rst_low; p1_us5.ck = n_t_1x; p1_us5.d = !data11_low; p1_us3.ar = !p2_rst_low; p1_us3.ck = n_t_1x; p1_us3.d = !data09_low; p1_us0.ar = !p2_rst_low; p1_us0.ck = n_t_1x; p1_us0.d = !data06_low; /* e92: sn7410 */ n_t_42x = !(!ts4_low & !p4_int & p4_dfen_low); n_t_169x = !(ind1_low & p4_bts1 & ind2_low); /* e93: sn74175 */ p2_ifa_low.d = n_t_20x; p2_ifa_low.ck = p4_ckif; p2_ifa_low.ar = !p2_3v; p2_ifb_low.d = n_t_19x; p2_ifb_low.ck = p4_ckif; p2_ifb_low.ar = !p2_3v; p2_ifc_low.d = n_t_18x; p2_ifc_low.ck = p4_ckif; p2_ifc_low.ar = !p2_3v; /* e94: sn7400 */ p6_disf_low = !(ind2_low & p4_bts1); n_t_49x = !(!p4_dfen_low & p4_init_en); /* e95: sn74368 */ /* n_t_18x = !(!p4_let_io_low & p1_bfl0); */ /* n_t_19x = !(!p4_let_io_low & p1_bfl1); */ /* n_t_20x = !(!p4_let_io_low & p1_bfl2); */ /* n_t_38x = !(!p4_let_io_low & p1_bfl0); */ /* n_t_39x = !(!p4_let_io_low & p1_bfl1); */ /* n_t_40x = !(!p4_let_io_low & p1_bfl2); */ /* e96: sn74368 */ /* n_t_18x = !(!n_t_2x & !data06_low); */ /* n_t_19x = !(!n_t_2x & !data07_low); */ /* n_t_20x = !(!n_t_2x & !data08_low); */ /* n_t_38x = !(!n_t_2x & !data09_low); */ /* n_t_39x = !(!n_t_2x & !data10_low); */ /* n_t_40x = !(!n_t_2x & !data11_low); */ /* e97: sn74173 */ gdollar_16.ck = p4_ckifb; gdollar_16.d = n_t_20x; gdollar_16.oe = !p4_int & p4_new_fld; /* n_t_20x = !(!gdollar_16 & !p4_int & p4_new_fld); */ gdollar_17.ck = p4_ckifb; gdollar_17.d = n_t_19x; gdollar_17.oe = !p4_int & p4_new_fld; /* n_t_19x = !(!gdollar_17 & !p4_int & p4_new_fld); */ gdollar_18.ck = p4_ckifb; gdollar_18.d = n_t_18x; gdollar_18.oe = !p4_int & p4_new_fld; /* n_t_18x = !(!gdollar_18 & !p4_int & p4_new_fld); */ /* e101: sn74367 */ /* rd9_low = !(!p6_disf_low & !p2_dfc_low); */ /* rd10_low = !(!p6_disf_low & !p2_dfb_low); */ /* rd11_low = !(!p6_disf_low & !p2_dfa_low); */ /* e102: sn74175 */ p2_dfa_low.d = n_t_40x; p2_dfa_low.ck = p4_ckdf; p2_dfa_low.ar = !p2_3v; p2_dfb_low.d = n_t_39x; p2_dfb_low.ck = p4_ckdf; p2_dfb_low.ar = !p2_3v; p2_dfc_low.d = n_t_38x; p2_dfc_low.ck = p4_ckdf; p2_dfc_low.ar = !p2_3v; /* e103: sn74174 */ p2_sf0.ar = !p2_3v; p2_sf0.ck = p4_cksv; p2_sf0.d = p2_ifc_low; p2_sf1.ar = !p2_3v; p2_sf1.ck = p4_cksv; p2_sf1.d = p2_ifb_low; p2_sf2.ar = !p2_3v; p2_sf2.ck = p4_cksv; p2_sf2.d = p2_ifa_low; p2_sf3.ar = !p2_3v; p2_sf3.ck = p4_cksv; p2_sf3.d = p2_dfc_low; p2_sf4.ar = !p2_3v; p2_sf4.ck = p4_cksv; p2_sf4.d = p2_dfb_low; p2_sf5.ar = !p2_3v; p2_sf5.ck = p4_cksv; p2_sf5.d = p2_dfa_low; /* e104: sn74367 */ /* n_t_38x = !(!n_t_49x & !p2_dfc_low); */ /* n_t_39x = !(!n_t_49x & !p2_dfb_low); */ /* n_t_40x = !(!n_t_49x & !p2_dfa_low); */ /* e105: sn74367 */ /* rd11_low = !(p2_suf_out & !p2_sf5); */ /* rd10_low = !(p2_suf_out & !p2_sf4); */ /* rd9_low = !(p2_suf_out & !p2_sf3); */ /* rd8_low = !(p2_suf_out & !p2_sf2); */ /* rd7_low = !(p2_suf_out & !p2_sf1); */ /* rd6_low = !(p2_suf_out & !p2_sf0); */ /* e106: sn74368 */ /* rd6_low = !(!p4_rusr_low & p1_us0); */ /* rd7_low = !(!p4_rusr_low & p1_us1); */ /* rd8_low = !(!p4_rusr_low & p1_us2); */ /* rd9_low = !(!p4_rusr_low & p1_us3); */ /* rd10_low = !(!p4_rusr_low & p1_us4); */ /* rd11_low = !(!p4_rusr_low & p1_us5); */ /* e107: sn74367 */ /* n_t_18x = !(!p4_rmf_low & !p2_sf0); */ /* n_t_19x = !(!p4_rmf_low & !p2_sf1); */ /* n_t_20x = !(!p4_rmf_low & !p2_sf2); */ /* n_t_38x = !(!p4_rmf_low & !p2_sf3); */ /* n_t_39x = !(!p4_rmf_low & !p2_sf4); */ /* n_t_40x = !(!p4_rmf_low & !p2_sf5); */ /* e112: dec23621a9 */ /* n_t_6x = !(!(!(!p2_ifb_low & p1_rr3 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # !p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & !p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & !p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_dfb_low & p1_rr3 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # !p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & !p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & !p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfa_low & p6_usm_low & p4_rdf_low)) & (!p1_enrf_low)); */ /* rd7_low = !(!(!(p6_usm_low & p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p2_dfb_low & !p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & !p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & !p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifb_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p2_ifb_low & !p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfb_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_ifb_low & !p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & !p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p2_ifb_low & p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p2_dfb_low & p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_ifb_low & p2_ifa_low & !p1_rr3 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfb_low & p2_dfa_low & !p1_rr3 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_ifb_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfb_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low)) & (!p1_enrf_low)); */ /* rd8_low = !(!(!(!p6_usm_low & !p2_dfa_low & !p1_rr4 & p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_ifa_low & !p1_rr4 & p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifa_low & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfa_low & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_ifa_low & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_dfa_low & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_ifa_low & p1_rr4 & !p4_rdf_low # !p6_usm_low & !p2_dfa_low & p1_rr4 & p4_rdf_low # p6_usm_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifa_low & p1_rr4 & !p4_rdf_low # p6_usm_low & p2_dfa_low & p1_rr4 & p4_rdf_low)) & (!p1_enrf_low)); */ /* e114: dec23621a9 */ /* rd6_low = !(!(!(n_t_6x & !p2_dfc_low & !p1_rr2 & p6_usm_low & p4_rdf_low # n_t_6x & !p2_ifc_low & !p1_rr2 & p6_usm_low & !p4_rdf_low # n_t_6x & p2_ifc_low & !p1_rr2 & !p6_usm_low & !p4_rdf_low # n_t_6x & p2_dfc_low & !p1_rr2 & !p6_usm_low & p4_rdf_low # !n_t_6x & !p2_ifc_low & !p1_rr2 & !p6_usm_low & !p4_rdf_low # !n_t_6x & !p2_dfc_low & !p1_rr2 & !p6_usm_low & p4_rdf_low # n_t_6x & !p2_ifc_low & p1_rr2 & !p4_rdf_low # n_t_6x & !p2_dfc_low & p1_rr2 & p4_rdf_low # !n_t_6x & p2_ifc_low & p6_usm_low & !p4_rdf_low # !n_t_6x & p2_dfc_low & p6_usm_low & p4_rdf_low # !n_t_6x & p2_ifc_low & p1_rr2 & !p4_rdf_low # !n_t_6x & p2_dfc_low & p1_rr2 & p4_rdf_low)) & (!p1_enrf_low)); */ /* e115: sn7404 */ /* r1: r_us_ */ rd4_low = 'b'1; /* r2: r_us_ */ /* r3: r_us_ */ /* r4: r_us_ */ n_t_90x = 'b'1; /* r5: r_us_ */ /* r6: r_us_ */ /* r7: r_us_ */ /* r8: r_us_ */ rd2_low = 'b'1; /* r9: r_us_ */ rd1_low = 'b'1; /* r10: r_us_ */ /* r12: r_us_ */ /* r13: r_us_ */ n_t_177x = 'b'1; /* r14: r_us_ */ /* r15: r_us_ */ n_t_50x = 'b'1; /* r16: r_us_ */ /* r17: r_us_ */ /* r18: r_us_ */ p4_3v = 'b'1; /* r19: r_us_ */ n_t_91x = 'b'1; /* r21: r_us_ */ p1_3v = 'b'1; /* r24: r_us_ */ /* r25: r_us_ */ /* r26: r_us_ */ /* r27: r_us_ */ /* r28: r_us_ */ /* r29: r_us_ */ /* r30: r_us_ */ p2_3v = 'b'1; /* r31: r_us_ */ /* r32: r_us_ */ /* r33: r_us_ */ /* r34: r_us_ */ /* r35: r_us_ */ /* Open collector 'wire-or's */ property atmel {open_collector= c0_low}; c0_low.oe = !c0_low; property atmel {open_collector= c1_low}; c1_low.oe = !c1_low; property atmel {open_collector= data00_low}; !data00_low = (!rd0_low & n_t_77x & n_t_77x); data00_low.oe = (!rd0_low & n_t_77x & n_t_77x); property atmel {open_collector= data01_low}; !data01_low = (!rd1_low & n_t_77x & n_t_77x); data01_low.oe = (!rd1_low & n_t_77x & n_t_77x); property atmel {open_collector= data02_low}; !data02_low = (!rd2_low & n_t_77x & n_t_77x); data02_low.oe = (!rd2_low & n_t_77x & n_t_77x); property atmel {open_collector= data03_low}; !data03_low = (!rd3_low & n_t_77x & n_t_77x); data03_low.oe = (!rd3_low & n_t_77x & n_t_77x); property atmel {open_collector= data04_low}; !data04_low = (!rd4_low & n_t_77x & n_t_77x); data04_low.oe = (!rd4_low & n_t_77x & n_t_77x); property atmel {open_collector= data05_low}; !data05_low = (!rd5_low & n_t_77x & n_t_77x); data05_low.oe = (!rd5_low & n_t_77x & n_t_77x); property atmel {open_collector= data06_low}; !data06_low = (!rd6_low & n_t_77x & n_t_77x); data06_low.oe = (!rd6_low & n_t_77x & n_t_77x); property atmel {open_collector= data07_low}; !data07_low = (!rd7_low & n_t_77x & n_t_77x); data07_low.oe = (!rd7_low & n_t_77x & n_t_77x); property atmel {open_collector= data08_low}; !data08_low = (!rd8_low & n_t_77x & n_t_77x); data08_low.oe = (!rd8_low & n_t_77x & n_t_77x); property atmel {open_collector= data09_low}; !data09_low = (!rd9_low & n_t_77x & n_t_77x); data09_low.oe = (!rd9_low & n_t_77x & n_t_77x); property atmel {open_collector= data10_low}; !data10_low = (!rd10_low & n_t_77x & n_t_77x); data10_low.oe = (!rd10_low & n_t_77x & n_t_77x); property atmel {open_collector= data11_low}; !data11_low = (!rd11_low & n_t_77x & n_t_77x); data11_low.oe = (!rd11_low & n_t_77x & n_t_77x); property atmel {open_collector= ema0_low}; !ema0_low = (!gdollar_2 & !p2_elar_low & !p2_elar_low) # (!gdollar_11 & !p2_emaen_low & !p2_emaen_low); ema0_low.oe = (!gdollar_2 & !p2_elar_low & !p2_elar_low) # (!gdollar_11 & !p2_emaen_low & !p2_emaen_low); property atmel {open_collector= ema1_low}; !ema1_low = (!gdollar_1 & !p2_elar_low & !p2_elar_low) # (!gdollar_10 & !p2_emaen_low & !p2_emaen_low); ema1_low.oe = (!gdollar_1 & !p2_elar_low & !p2_elar_low) # (!gdollar_10 & !p2_emaen_low & !p2_emaen_low); property atmel {open_collector= ema2_low}; !ema2_low = (!gdollar_0 & !p2_elar_low & !p2_elar_low) # (!gdollar_9 & !p2_emaen_low & !p2_emaen_low); ema2_low.oe = (!gdollar_0 & !p2_elar_low & !p2_elar_low) # (!gdollar_9 & !p2_emaen_low & !p2_emaen_low); property atmel {open_collector= int_rqst_low}; !int_rqst_low = (!n_t_102x) # (!p4_usint_low); int_rqst_low.oe = (!n_t_102x) # (!p4_usint_low); property atmel {open_collector= internal_io}; internal_io.oe = !internal_io; !n_t_114x = (!(!(p4_cif_low & p4_rtf_low & !n_t_125x))); !n_t_115x = (!(!(p4_cif_low & !n_t_125x))); !n_t_116x = (!(!p4_new_fld)); !n_t_117x = (!(!(p4_cdf_low & p4_rtf_low & !n_t_125x))); !n_t_118x = (!(!(p4_cdf_low & !n_t_125x))); !n_t_119x = (!(!(p4_rtf_low & !n_t_125x))); !n_t_120x = (!(!p4_new_fld)); !n_t_121x = (!(!(p4_cif_low & p4_rtf_low & !n_t_125x))); !n_t_18x = (!p4_let_io_low & p1_bfl0) # (!n_t_2x & !data06_low) # (!gdollar_18 & !p4_int & p4_new_fld) # (!p4_rmf_low & !p2_sf0); !n_t_19x = (!p4_let_io_low & p1_bfl1) # (!n_t_2x & !data07_low) # (!gdollar_17 & !p4_int & p4_new_fld) # (!p4_rmf_low & !p2_sf1); !n_t_20x = (!p4_let_io_low & p1_bfl2) # (!n_t_2x & !data08_low) # (!gdollar_16 & !p4_int & p4_new_fld) # (!p4_rmf_low & !p2_sf2); !n_t_29x = (!(!(md05_low & md09_low)) & (!p3_cfrom_low & !p3_e2cfrom_low)); !n_t_38x = (!n_t_42x & !p2_ifa_low) # (!p4_let_io_low & p1_bfl0) # (!n_t_2x & !data09_low) # (!n_t_49x & !p2_dfc_low) # (!p4_rmf_low & !p2_sf3); !n_t_39x = (!n_t_42x & !p2_ifb_low) # (!p4_let_io_low & p1_bfl1) # (!n_t_2x & !data10_low) # (!n_t_49x & !p2_dfb_low) # (!p4_rmf_low & !p2_sf4); !n_t_40x = (!n_t_42x & !p2_ifc_low) # (!p4_let_io_low & p1_bfl2) # (!n_t_2x & !data11_low) # (!n_t_49x & !p2_dfa_low) # (!p4_rmf_low & !p2_sf5); !n_t_6x = (!(!(!p2_ifb_low & p1_rr3 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # !p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & !p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & !p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_dfb_low & p1_rr3 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # !p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & !p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & !p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfa_low & p6_usm_low & p4_rdf_low)) & (!p1_enrf_low)); !n_t_72x = (!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md07_low & md08_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low & md06_low # md03_low & md04_low & !md08_low & md11_low # !md11_low # md03_low & md09_low # md03_low & !md06_low & !md09_low # md03_low & !md04_low & md05_low & md07_low & md08_low & md10_low & md11_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); c1_low = (!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md06_low & md07_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low # md03_low & !md05_low & md06_low # md03_low & md04_low & md05_low & md06_low & md07_low & md08_low & !md09_low & md10_low & !md11_low # md03_low & md09_low # md03_low & md04_low & md05_low & !md08_low & !md09_low # md03_low & !md07_low & md08_low # md03_low & !md04_low & !md09_low # md03_low & !md04_low & md05_low & md07_low & md08_low & md10_low & md11_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); c0_low = (!(!(n_t_69x & md03_low & !p3_bpause & !p6_usm_low # md03_low & md04_low & !md06_low & md07_low # md03_low & md04_low & !md07_low & md08_low # md03_low & !md04_low & !md06_low & !md10_low # md03_low & md06_low & !md10_low # md03_low & !md05_low # md03_low & !md05_low & md06_low # md03_low & md09_low # md03_low & md04_low & md05_low & !md08_low & !md09_low # md03_low & !md04_low & !md09_low # !p3_bpause & p6_usm_low # !n_t_69x & !p3_bpause # !md03_low))); !n_t_77x = (p4_bts1 & ind2_low) # (n_t_72x & !ts3_low); !p1_bfl0 = (!(!(!md06_low & !md07_low & !md08_low & !p1_rr2 & !p1_rr3 & p1_rr4 & !p6_usm_low # md06_low & md07_low & !md08_low & p1_rr2 & p1_rr3 & p1_rr4 # !md06_low & md07_low & !md08_low & !p1_rr2 & p1_rr3 & p1_rr4 & !p6_usm_low # md06_low & !md07_low & !md08_low & p1_rr2 & !p1_rr3 & p1_rr4 & !p6_usm_low # !md06_low & p1_rr2 & !p1_rr3 & !p1_rr4 & !p6_usm_low # !md06_low & md08_low & p1_rr2 & !p1_rr3 & !p1_rr4 & !p6_usm_low # !md06_low & md08_low & p1_rr2 & !p1_rr3 & !p6_usm_low # !md06_low & md07_low & p1_rr2 & !p1_rr4 & !p6_usm_low # !md06_low & md07_low & md08_low & p1_rr2 & !p1_rr4 & !p6_usm_low # !md06_low & md07_low & md08_low & p1_rr2 & !p6_usm_low # !md06_low & !md07_low & !p1_rr2 & p1_rr3 & !p6_usm_low # md06_low & !md07_low & p1_rr2 & p1_rr3 & !p6_usm_low # md06_low & md07_low & !md08_low & p6_usm_low # !md06_low & md07_low & p1_rr2 & !p1_rr3 & !p6_usm_low # md06_low & !p1_rr2 & !p1_rr3 & !p1_rr4 # md06_low & md08_low & !p1_rr2 & !p1_rr3 & !p1_rr4 # md06_low & md08_low & !p1_rr2 & !p1_rr3 # md06_low & md07_low & !p1_rr2 & !p1_rr4 # md06_low & md07_low & md08_low & !p1_rr2 & !p1_rr4 # md06_low & md07_low & md08_low & !p1_rr2 # md06_low & md07_low & !p1_rr2 & !p1_rr3 # md06_low & p6_usm_low # md06_low & md08_low & p6_usm_low))); !p1_bfl1 = (!(!(md07_low & !md08_low & p1_rr3 & p1_rr4 # md07_low & md08_low & !p1_rr3 & p1_rr4 # md07_low & md08_low & !p1_rr3 & !p1_rr4 # !md07_low & !md08_low & !p1_rr3 & p1_rr4 & !p6_usm_low # !md07_low & p1_rr3 & !p1_rr4 & !p6_usm_low # !md07_low & md08_low & p1_rr3 & !p1_rr4 & !p6_usm_low # !md07_low & md08_low & p1_rr3 & !p6_usm_low # md07_low & !p1_rr3 & !p1_rr4 # md07_low & p6_usm_low # md07_low & md08_low & p6_usm_low))); !p1_bfl2 = (!(!(!md08_low & p1_rr4 & !p6_usm_low # md08_low & !p1_rr4 # md08_low & p6_usm_low))); !p3_cfrom_low = 'b'0; !p3_decen_low = 'b'0; !p3_hos_low = 'b'0; !p3_inioen = (!(!(md03_low & md06_low & !md10_low # md03_low & !md05_low & md06_low # md03_low & !md06_low & md07_low & md08_low & md09_low & p3_bpause & p6_usm_low # md03_low & !p6_usm_low # md03_low & !md07_low & md08_low # md03_low & !md06_low & !md09_low # md03_low & !md08_low & p3_bpause & p6_usm_low # !p3_bpause & p6_usm_low # !md03_low))); !p4_cdf_low = (!(md11_low # n_t_29x # md04_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); !p4_cif_low = (!(md10_low # n_t_29x # md04_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); !p4_let_io_low = (!(md04_low & md05_low & !md09_low & !n_t_89x & p4_cdf_low & p4_cif_low # md04_low & md09_low & !n_t_89x & p4_cdf_low & p4_cif_low # md04_low & n_t_89x & p4_cdf_low & p4_cif_low # !md04_low & p4_cdf_low & p4_cif_low) & (!(!p3_cfrom_low & !p3_e2cfrom_low))); !rd0_low = (!link_low & n_t_27x); !rd10_low = (!p4_rrr_low & p1_rr3) # (!p6_disf_low & !p2_dfb_low) # (p2_suf_out & !p2_sf4) # (!p4_rusr_low & p1_us4); !rd11_low = (!p4_rrr_low & p1_rr4) # (!p6_disf_low & !p2_dfa_low) # (p2_suf_out & !p2_sf5) # (!p4_rusr_low & p1_us5); !rd3_low = (!p4_fatal_lp_0_rp & !p4_gtf_low) # (!n_t_169x & !p4_fatal_lp_0_rp); !rd5_low = (n_t_168x & p2_suf_out) # (!n_t_169x & !p6_usm_low); !rd6_low = (!p6_disf_low & !p2_ifc_low) # (p2_suf_out & !p2_sf0) # (!p4_rusr_low & p1_us0) # (!(!(n_t_6x & !p2_dfc_low & !p1_rr2 & p6_usm_low & p4_rdf_low # n_t_6x & !p2_ifc_low & !p1_rr2 & p6_usm_low & !p4_rdf_low # n_t_6x & p2_ifc_low & !p1_rr2 & !p6_usm_low & !p4_rdf_low # n_t_6x & p2_dfc_low & !p1_rr2 & !p6_usm_low & p4_rdf_low # !n_t_6x & !p2_ifc_low & !p1_rr2 & !p6_usm_low & !p4_rdf_low # !n_t_6x & !p2_dfc_low & !p1_rr2 & !p6_usm_low & p4_rdf_low # n_t_6x & !p2_ifc_low & p1_rr2 & !p4_rdf_low # n_t_6x & !p2_dfc_low & p1_rr2 & p4_rdf_low # !n_t_6x & p2_ifc_low & p6_usm_low & !p4_rdf_low # !n_t_6x & p2_dfc_low & p6_usm_low & p4_rdf_low # !n_t_6x & p2_ifc_low & p1_rr2 & !p4_rdf_low # !n_t_6x & p2_dfc_low & p1_rr2 & p4_rdf_low)) & (!p1_enrf_low)); !rd7_low = (!p6_disf_low & !p2_ifb_low) # (!p4_rrr_low & p1_rr0) # (p2_suf_out & !p2_sf1) # (!p4_rusr_low & p1_us1) # (!(!(p6_usm_low & p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p2_dfb_low & !p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_dfb_low & !p2_dfa_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & !p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_dfb_low & p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & !p2_dfa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_dfb_low & !p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p2_dfb_low & p2_dfa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifb_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p2_ifb_low & !p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifb_low & !p2_ifa_low & p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfb_low & p1_rr3 & p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_ifb_low & !p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_ifb_low & p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & !p2_ifa_low & !p1_rr3 & p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & !p2_ifb_low & !p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p2_ifb_low & p2_ifa_low & p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p2_ifb_low & p2_ifa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p2_dfb_low & p2_dfa_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_ifb_low & p2_ifa_low & !p1_rr3 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfb_low & p2_dfa_low & !p1_rr3 & !p6_usm_low & p4_rdf_low # !p6_usm_low & p2_ifb_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfb_low & !p1_rr3 & !p1_rr4 & !p6_usm_low & p4_rdf_low)) & (!p1_enrf_low)); !rd8_low = (!p6_disf_low & !p2_ifa_low) # (!p4_rrr_low & p1_rr1) # (p2_suf_out & !p2_sf2) # (!p4_rusr_low & p1_us2) # (!(!(!p6_usm_low & !p2_dfa_low & !p1_rr4 & p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_ifa_low & !p1_rr4 & p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_ifa_low & !p1_rr4 & !p6_usm_low & !p4_rdf_low # !p6_usm_low & p2_dfa_low & !p1_rr4 & !p6_usm_low & p4_rdf_low # p6_usm_low & !p2_ifa_low & !p1_rr4 & !p6_usm_low & !p4_rdf_low # p6_usm_low & !p2_dfa_low & !p1_rr4 & !p6_usm_low & p4_rdf_low # !p6_usm_low & !p2_ifa_low & p1_rr4 & !p4_rdf_low # !p6_usm_low & !p2_dfa_low & p1_rr4 & p4_rdf_low # p6_usm_low & p2_ifa_low & p6_usm_low & !p4_rdf_low # p6_usm_low & p2_dfa_low & p6_usm_low & p4_rdf_low # p6_usm_low & p2_ifa_low & p1_rr4 & !p4_rdf_low # p6_usm_low & p2_dfa_low & p1_rr4 & p4_rdf_low)) & (!p1_enrf_low)); !rd9_low = (!p4_rrr_low & p1_rr2) # (!p6_disf_low & !p2_dfc_low) # (p2_suf_out & !p2_sf3) # (!p4_rusr_low & p1_us3); property atmel {open_collector= skip_low}; !skip_low = (!p4_usint_low & !p4_sint_low); skip_low.oe = (!p4_usint_low & !p4_sint_low); property atmel {open_collector= user_mode_low}; !user_mode_low = (!md02_low & !p6_usm_low) # (p1_enrf_low & !p6_usm_low); user_mode_low.oe = (!md02_low & !p6_usm_low) # (p1_enrf_low & !p6_usm_low);