{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1634214784014 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1634214784014 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 14 05:33:03 2021 " "Processing started: Thu Oct 14 05:33:03 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1634214784014 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1634214784014 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off M837 -c M837 " "Command: quartus_map --read_settings_files=on --write_settings_files=off M837 -c M837" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1634214784014 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1634214784917 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "m837.v 1 1 " "Found 1 design units, including 1 entities, in source file m837.v" { { "Info" "ISGN_ENTITY_NAME" "1 M837 " "Found entity 1: M837" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 5 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1634214785186 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1634214785186 ""} { "Info" "ISGN_START_ELABORATION_TOP" "M837 " "Elaborating entity \"M837\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1634214785333 ""} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "uf_m M837.v(265) " "Verilog HDL Always Construct warning at M837.v(265): inferring latch(es) for variable \"uf_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 265 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "uf M837.v(273) " "Verilog HDL Always Construct warning at M837.v(273): inferring latch(es) for variable \"uf\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 273 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if0_m M837.v(280) " "Verilog HDL Always Construct warning at M837.v(280): inferring latch(es) for variable \"if0_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 280 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if0 M837.v(288) " "Verilog HDL Always Construct warning at M837.v(288): inferring latch(es) for variable \"if0\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 288 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if1_m M837.v(295) " "Verilog HDL Always Construct warning at M837.v(295): inferring latch(es) for variable \"if1_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 295 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if1 M837.v(303) " "Verilog HDL Always Construct warning at M837.v(303): inferring latch(es) for variable \"if1\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 303 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if2_m M837.v(310) " "Verilog HDL Always Construct warning at M837.v(310): inferring latch(es) for variable \"if2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 310 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "if2 M837.v(318) " "Verilog HDL Always Construct warning at M837.v(318): inferring latch(es) for variable \"if2\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 318 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_0_m M837.v(382) " "Verilog HDL Always Construct warning at M837.v(382): inferring latch(es) for variable \"gdollar_0_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 382 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_0 M837.v(389) " "Verilog HDL Always Construct warning at M837.v(389): inferring latch(es) for variable \"gdollar_0\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 389 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib0_m M837.v(396) " "Verilog HDL Always Construct warning at M837.v(396): inferring latch(es) for variable \"ib0_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 396 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib0 M837.v(404) " "Verilog HDL Always Construct warning at M837.v(404): inferring latch(es) for variable \"ib0\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 404 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib1_m M837.v(411) " "Verilog HDL Always Construct warning at M837.v(411): inferring latch(es) for variable \"ib1_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 411 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib1 M837.v(419) " "Verilog HDL Always Construct warning at M837.v(419): inferring latch(es) for variable \"ib1\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 419 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib2_m M837.v(426) " "Verilog HDL Always Construct warning at M837.v(426): inferring latch(es) for variable \"ib2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 426 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ib2 M837.v(434) " "Verilog HDL Always Construct warning at M837.v(434): inferring latch(es) for variable \"ib2\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 434 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ema_disable_l_m M837.v(449) " "Verilog HDL Always Construct warning at M837.v(449): inferring latch(es) for variable \"ema_disable_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 449 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ema_disable_l M837.v(456) " "Verilog HDL Always Construct warning at M837.v(456): inferring latch(es) for variable \"ema_disable_l\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 456 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "db_m M837.v(481) " "Verilog HDL Always Construct warning at M837.v(481): inferring latch(es) for variable \"db_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 481 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "db M837.v(491) " "Verilog HDL Always Construct warning at M837.v(491): inferring latch(es) for variable \"db\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 491 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df_enable_m M837.v(501) " "Verilog HDL Always Construct warning at M837.v(501): inferring latch(es) for variable \"df_enable_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 501 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df_enable M837.v(508) " "Verilog HDL Always Construct warning at M837.v(508): inferring latch(es) for variable \"df_enable\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 508 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_2_m M837.v(683) " "Verilog HDL Always Construct warning at M837.v(683): inferring latch(es) for variable \"gdollar_2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 683 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_2 M837.v(690) " "Verilog HDL Always Construct warning at M837.v(690): inferring latch(es) for variable \"gdollar_2\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 690 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df0_m M837.v(697) " "Verilog HDL Always Construct warning at M837.v(697): inferring latch(es) for variable \"df0_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 697 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df0 M837.v(705) " "Verilog HDL Always Construct warning at M837.v(705): inferring latch(es) for variable \"df0\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 705 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df1_m M837.v(712) " "Verilog HDL Always Construct warning at M837.v(712): inferring latch(es) for variable \"df1_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 712 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df1 M837.v(720) " "Verilog HDL Always Construct warning at M837.v(720): inferring latch(es) for variable \"df1\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 720 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df2_m M837.v(727) " "Verilog HDL Always Construct warning at M837.v(727): inferring latch(es) for variable \"df2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 727 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "df2 M837.v(735) " "Verilog HDL Always Construct warning at M837.v(735): inferring latch(es) for variable \"df2\", which holds its previous value in one or more paths through the always construct" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 735 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1634214785333 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df2 M837.v(735) " "Inferred latch for \"df2\" at M837.v(735)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 735 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df2_m M837.v(727) " "Inferred latch for \"df2_m\" at M837.v(727)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 727 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df1 M837.v(720) " "Inferred latch for \"df1\" at M837.v(720)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 720 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df1_m M837.v(712) " "Inferred latch for \"df1_m\" at M837.v(712)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 712 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df0 M837.v(705) " "Inferred latch for \"df0\" at M837.v(705)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 705 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df0_m M837.v(697) " "Inferred latch for \"df0_m\" at M837.v(697)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 697 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df_enable M837.v(508) " "Inferred latch for \"df_enable\" at M837.v(508)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 508 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "df_enable_m M837.v(501) " "Inferred latch for \"df_enable_m\" at M837.v(501)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 501 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "db M837.v(491) " "Inferred latch for \"db\" at M837.v(491)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 491 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "db_m M837.v(481) " "Inferred latch for \"db_m\" at M837.v(481)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 481 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ema_disable_l M837.v(456) " "Inferred latch for \"ema_disable_l\" at M837.v(456)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 456 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ema_disable_l_m M837.v(449) " "Inferred latch for \"ema_disable_l_m\" at M837.v(449)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 449 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib2 M837.v(434) " "Inferred latch for \"ib2\" at M837.v(434)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 434 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib2_m M837.v(426) " "Inferred latch for \"ib2_m\" at M837.v(426)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 426 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib1 M837.v(419) " "Inferred latch for \"ib1\" at M837.v(419)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 419 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib1_m M837.v(411) " "Inferred latch for \"ib1_m\" at M837.v(411)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 411 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib0 M837.v(404) " "Inferred latch for \"ib0\" at M837.v(404)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 404 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ib0_m M837.v(396) " "Inferred latch for \"ib0_m\" at M837.v(396)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 396 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if2 M837.v(318) " "Inferred latch for \"if2\" at M837.v(318)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 318 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if2_m M837.v(310) " "Inferred latch for \"if2_m\" at M837.v(310)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 310 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if1 M837.v(303) " "Inferred latch for \"if1\" at M837.v(303)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 303 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if1_m M837.v(295) " "Inferred latch for \"if1_m\" at M837.v(295)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 295 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if0 M837.v(288) " "Inferred latch for \"if0\" at M837.v(288)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 288 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "if0_m M837.v(280) " "Inferred latch for \"if0_m\" at M837.v(280)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 280 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "uf M837.v(273) " "Inferred latch for \"uf\" at M837.v(273)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 273 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "uf_m M837.v(265) " "Inferred latch for \"uf_m\" at M837.v(265)" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 265 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1634214785349 "|M837"} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC_HDR" "" "The following nodes have both tri-state and non-tri-state drivers" { { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "df_enable " "Inserted always-enabled tri-state buffer between \"df_enable\" and its non-tri-state driver." { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 18 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1634214785904 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "tp_aa1 " "Inserted always-enabled tri-state buffer between \"tp_aa1\" and its non-tri-state driver." { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 54 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1634214785904 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "tp_ab1 " "Inserted always-enabled tri-state buffer between \"tp_ab1\" and its non-tri-state driver." { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 55 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1634214785904 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "tp_ba1 " "Inserted always-enabled tri-state buffer between \"tp_ba1\" and its non-tri-state driver." { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 56 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1634214785904 ""} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "tp_bb1 " "Inserted always-enabled tri-state buffer between \"tp_bb1\" and its non-tri-state driver." { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 57 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1634214785904 ""} } { } 0 13034 "The following nodes have both tri-state and non-tri-state drivers" 0 0 "Quartus II" 0 -1 1634214785904 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_HDR" "" "One or more bidirs are fed by always enabled tri-state buffers" { { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "df_enable " "Fan-out of permanently enabled tri-state buffer feeding bidir \"df_enable\" is moved to its source" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 18 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1634214785919 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "tp_aa1 " "Fan-out of permanently enabled tri-state buffer feeding bidir \"tp_aa1\" is moved to its source" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 54 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1634214785919 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "tp_ab1 " "Fan-out of permanently enabled tri-state buffer feeding bidir \"tp_ab1\" is moved to its source" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 55 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1634214785919 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "tp_ba1 " "Fan-out of permanently enabled tri-state buffer feeding bidir \"tp_ba1\" is moved to its source" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 56 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1634214785919 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "tp_bb1 " "Fan-out of permanently enabled tri-state buffer feeding bidir \"tp_bb1\" is moved to its source" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 57 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1634214785919 ""} } { } 0 13060 "One or more bidirs are fed by always enabled tri-state buffers" 0 0 "Quartus II" 0 -1 1634214785919 ""} { "Warning" "WMLS_MLS_DISABLED_OE" "" "TRI or OPNDRN buffers permanently disabled" { { "Warning" "WMLS_MLS_NODE_NAME" "c1l~synth " "Node \"c1l~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 7 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "internal_io_l~synth " "Node \"internal_io_l~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 29 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "int_in_progress_l~synth " "Node \"int_in_progress_l~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 27 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} } { } 0 13008 "TRI or OPNDRN buffers permanently disabled" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_ENABLED_OE" "" "TRI or OPNDRN buffers permanently enabled" { { "Warning" "WMLS_MLS_NODE_NAME" "df_enable~synth " "Node \"df_enable~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 18 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "tp_aa1~synth " "Node \"tp_aa1~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 54 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "tp_ab1~synth " "Node \"tp_ab1~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 55 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "tp_ba1~synth " "Node \"tp_ba1~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 56 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Warning" "WMLS_MLS_NODE_NAME" "tp_bb1~synth " "Node \"tp_bb1~synth\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 57 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214785973 ""} } { } 0 13009 "TRI or OPNDRN buffers permanently enabled" 0 0 "Quartus II" 0 -1 1634214785973 ""} { "Info" "ISCL_SCL_LOST_FANOUT_MSG_HDR" "6 " "6 registers lost all their fanouts during netlist optimizations." { } { } 0 17049 "%1!d! registers lost all their fanouts during netlist optimizations." 0 0 "Quartus II" 0 -1 1634214786104 ""} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "12 " "Design contains 12 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "io_pause_l " "No output dependent on input pin \"io_pause_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 30 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|io_pause_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md00_l " "No output dependent on input pin \"md00_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 36 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md00_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md01_l " "No output dependent on input pin \"md01_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 37 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md01_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md02_l " "No output dependent on input pin \"md02_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 38 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md02_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md04_l " "No output dependent on input pin \"md04_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 40 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md04_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md05_l " "No output dependent on input pin \"md05_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 41 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md05_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md06_l " "No output dependent on input pin \"md06_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 42 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md06_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md07_l " "No output dependent on input pin \"md07_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 43 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md07_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md08_l " "No output dependent on input pin \"md08_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 44 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md08_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md09_l " "No output dependent on input pin \"md09_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 45 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md09_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md10_l " "No output dependent on input pin \"md10_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 46 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md10_l"} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "md11_l " "No output dependent on input pin \"md11_l\"" { } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 47 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214786135 "|M837|md11_l"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1634214786135 ""} { "Info" "ICUT_CUT_TM_SUMMARY" "117 " "Implemented 117 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "32 " "Implemented 32 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1634214786151 ""} { "Info" "ICUT_CUT_TM_OPINS" "8 " "Implemented 8 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1634214786151 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "14 " "Implemented 14 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1634214786151 ""} { "Info" "ICUT_CUT_TM_MCELLS" "58 " "Implemented 58 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1634214786151 ""} { "Info" "ICUT_CUT_TM_SEXPS" "5 " "Implemented 5 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1634214786151 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1634214786151 ""} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 60 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 60 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4556 " "Peak virtual memory: 4556 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1634214786533 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 14 05:33:06 2021 " "Processing ended: Thu Oct 14 05:33:06 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1634214786533 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1634214786533 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1634214786533 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1634214786533 ""}