{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1634214794757 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1634214794757 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Oct 14 05:33:14 2021 " "Processing started: Thu Oct 14 05:33:14 2021" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1634214794757 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1634214794757 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta M837 -c M837 " "Command: quartus_sta M837 -c M837" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1634214794757 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1634214794895 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1634214795389 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1634214795412 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1634214795427 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1634214795543 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "M837.sdc " "Synopsys Design Constraints File file not found: 'M837.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1634214795690 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1634214795697 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name load_addr load_addr " "create_clock -period 1.000 -name load_addr load_addr" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795712 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795712 ""} { "Warning" "WSTA_SCC_LOOP" "10 " "Found combinational loop of 10 nodes" { { "Warning" "WSTA_SCC_NODE" "uf_m~15\|\[3\] " "Node \"uf_m~15\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~15\|dataout " "Node \"uf_m~15\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~7\|\[3\] " "Node \"uf_m~7\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~7\|dataout " "Node \"uf_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~23\|\[0\] " "Node \"uf_m~23\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~23\|dataout " "Node \"uf_m~23\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf_m~23\|\[3\] " "Node \"uf_m~23\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf~9\|\[0\] " "Node \"uf~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf~9\|dataout " "Node \"uf~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uf~9\|\[3\] " "Node \"uf~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 75 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 77 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "ema_disable_l~9\|\[2\] " "Node \"ema_disable_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ema_disable_l~9\|dataout " "Node \"ema_disable_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 89 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "ema_disable_l_m~9\|\[2\] " "Node \"ema_disable_l_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ema_disable_l_m~9\|dataout " "Node \"ema_disable_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 66 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "ib2_m~9\|\[0\] " "Node \"ib2_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib2_m~9\|dataout " "Node \"ib2_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib2~9\|\[0\] " "Node \"ib2~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib2~9\|dataout " "Node \"ib2~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib2~9\|\[2\] " "Node \"ib2~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib2_m~9\|\[2\] " "Node \"ib2_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 71 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 88 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "ib1_m~9\|\[0\] " "Node \"ib1_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib1_m~9\|dataout " "Node \"ib1_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib1~9\|\[0\] " "Node \"ib1~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib1~9\|dataout " "Node \"ib1~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib1~9\|\[2\] " "Node \"ib1~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib1_m~9\|\[2\] " "Node \"ib1_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 70 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 87 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "df2_m~9\|\[0\] " "Node \"df2_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df2_m~9\|dataout " "Node \"df2_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df2~9\|\[0\] " "Node \"df2~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df2~9\|dataout " "Node \"df2~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df2~9\|\[2\] " "Node \"df2~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df2_m~9\|\[2\] " "Node \"df2_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 64 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 98 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "df1_m~9\|\[0\] " "Node \"df1_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df1_m~9\|dataout " "Node \"df1_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df1~9\|\[0\] " "Node \"df1~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df1~9\|dataout " "Node \"df1~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df1~9\|\[2\] " "Node \"df1~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df1_m~9\|\[2\] " "Node \"df1_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 63 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 97 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "df0_m~9\|\[0\] " "Node \"df0_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df0_m~9\|dataout " "Node \"df0_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df0~9\|\[0\] " "Node \"df0~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df0~9\|dataout " "Node \"df0~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df0~9\|\[2\] " "Node \"df0~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df0_m~9\|\[2\] " "Node \"df0_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 62 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 96 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "uint~2\|\[1\] " "Node \"uint~2\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "uint~2\|dataout " "Node \"uint~2\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 194 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "db~10\|dataout " "Node \"db~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "db~10\|\[2\] " "Node \"db~10\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 90 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "db_m~9\|dataout " "Node \"db_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "db_m~9\|\[1\] " "Node \"db_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 61 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "8 " "Found combinational loop of 8 nodes" { { "Warning" "WSTA_SCC_NODE" "n_t_49x~2\|\[0\] " "Node \"n_t_49x~2\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "n_t_49x~2\|dataout " "Node \"n_t_49x~2\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable_m~9\|\[0\] " "Node \"df_enable_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable_m~9\|dataout " "Node \"df_enable_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable_m~9\|\[2\] " "Node \"df_enable_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable\$latch~9\|\[0\] " "Node \"df_enable\$latch~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable\$latch~9\|dataout " "Node \"df_enable\$latch~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "df_enable\$latch~9\|\[2\] " "Node \"df_enable\$latch~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 157 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 65 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 508 0 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "10 " "Found combinational loop of 10 nodes" { { "Warning" "WSTA_SCC_NODE" "if2~9\|dataout " "Node \"if2~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2~9\|\[3\] " "Node \"if2~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~15\|\[3\] " "Node \"if2_m~15\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~15\|dataout " "Node \"if2_m~15\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~7\|\[1\] " "Node \"if2_m~7\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~7\|dataout " "Node \"if2_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~22\|\[0\] " "Node \"if2_m~22\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~22\|dataout " "Node \"if2_m~22\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2_m~22\|\[3\] " "Node \"if2_m~22\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if2~9\|\[0\] " "Node \"if2~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 80 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 74 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "10 " "Found combinational loop of 10 nodes" { { "Warning" "WSTA_SCC_NODE" "if1~9\|dataout " "Node \"if1~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1~9\|\[3\] " "Node \"if1~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~15\|\[3\] " "Node \"if1_m~15\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~15\|dataout " "Node \"if1_m~15\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~7\|\[1\] " "Node \"if1_m~7\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~7\|dataout " "Node \"if1_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~22\|\[0\] " "Node \"if1_m~22\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~22\|dataout " "Node \"if1_m~22\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1_m~22\|\[3\] " "Node \"if1_m~22\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if1~9\|\[0\] " "Node \"if1~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 79 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 73 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "10 " "Found combinational loop of 10 nodes" { { "Warning" "WSTA_SCC_NODE" "if0~9\|dataout " "Node \"if0~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0~9\|\[3\] " "Node \"if0~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~15\|\[3\] " "Node \"if0_m~15\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~15\|dataout " "Node \"if0_m~15\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~7\|\[2\] " "Node \"if0_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~7\|dataout " "Node \"if0_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~22\|\[0\] " "Node \"if0_m~22\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~22\|dataout " "Node \"if0_m~22\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0_m~22\|\[3\] " "Node \"if0_m~22\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "if0~9\|\[0\] " "Node \"if0~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 78 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 72 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_LOOP" "6 " "Found combinational loop of 6 nodes" { { "Warning" "WSTA_SCC_NODE" "ib0~9\|dataout " "Node \"ib0~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib0~9\|\[2\] " "Node \"ib0~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib0_m~9\|\[0\] " "Node \"ib0_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib0_m~9\|dataout " "Node \"ib0_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib0_m~9\|\[2\] " "Node \"ib0_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Warning" "WSTA_SCC_NODE" "ib0~9\|\[0\] " "Node \"ib0~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1634214795728 ""} } { { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 86 -1 0 } } { "M837.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M837/pld/M837.v" 69 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1634214795728 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1634214795759 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1634214795875 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -30.000 " "Worst-case setup slack is -30.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795921 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -30.000 -30.000 load_addr " " -30.000 -30.000 load_addr " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795921 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1634214795921 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -4.000 " "Worst-case hold slack is -4.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795937 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -4.000 load_addr " " -4.000 -4.000 load_addr " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214795937 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1634214795937 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1634214795966 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1634214795998 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214796029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214796029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 load_addr " " -5.500 -11.000 load_addr " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1634214796029 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1634214796029 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1634214796185 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1634214796298 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1634214796298 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 114 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 114 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4504 " "Peak virtual memory: 4504 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1634214796593 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Oct 14 05:33:16 2021 " "Processing ended: Thu Oct 14 05:33:16 2021" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1634214796593 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1634214796593 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1634214796593 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1634214796593 ""}