{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1686381064164 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1686381064180 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Jun 10 00:11:04 2023 " "Processing started: Sat Jun 10 00:11:04 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1686381064180 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1686381064180 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off M8650 -c M8650 " "Command: quartus_map --read_settings_files=on --write_settings_files=off M8650 -c M8650" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1686381064180 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(305) " "Verilog HDL Event Control warning at M8650.v(305): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 305 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064805 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(427) " "Verilog HDL Event Control warning at M8650.v(427): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 427 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064820 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(447) " "Verilog HDL Event Control warning at M8650.v(447): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 447 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064820 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(596) " "Verilog HDL Event Control warning at M8650.v(596): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 596 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064820 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(692) " "Verilog HDL Event Control warning at M8650.v(692): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 692 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064820 ""} { "Warning" "WVRFX_L3_VERI_CONST_EVENT_EXPR" "M8650.v(1083) " "Verilog HDL Event Control warning at M8650.v(1083): event expression is a constant" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1083 0 0 } } } 0 10262 "Verilog HDL Event Control warning at %1!s!: event expression is a constant" 1 0 "Quartus II" 0 -1 1686381064820 ""} { "Warning" "WVRFX_VERI_IGNORED_ANONYMOUS_PORT" "m8650 M8650.v(5) " "Verilog Module Declaration warning at M8650.v(5): ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module \"m8650\"" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 5 0 0 } } } 0 10238 "Verilog Module Declaration warning at %2!s!: ignored anonymous port(s) indicated by duplicate or dangling comma(s) in the port list for module \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381064820 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "m8650.v 1 1 " "Found 1 design units, including 1 entities, in source file m8650.v" { { "Info" "ISGN_ENTITY_NAME" "1 m8650 " "Found entity 1: m8650" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 5 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1686381064836 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1686381064836 ""} { "Info" "ISGN_START_ELABORATION_TOP" "m8650 " "Elaborating entity \"m8650\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1686381064899 ""} { "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "r_run_l M8650.v(41) " "Verilog HDL or VHDL warning at M8650.v(41): object \"r_run_l\" assigned a value but never read" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 41 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_HDL_OBJECT_ASSIGNED_NOT_READ" "gdollar_3 M8650.v(133) " "Verilog HDL or VHDL warning at M8650.v(133): object \"gdollar_3\" assigned a value but never read" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 133 0 0 } } } 0 10036 "Verilog HDL or VHDL warning at %2!s!: object \"%1!s!\" assigned a value but never read" 0 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ck_pulse_m M8650.v(305) " "Verilog HDL Always Construct warning at M8650.v(305): inferring latch(es) for variable \"ck_pulse_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 305 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "ck_pulse M8650.v(312) " "Verilog HDL Always Construct warning at M8650.v(312): inferring latch(es) for variable \"ck_pulse\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 312 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div2_l_m M8650.v(320) " "Verilog HDL Always Construct warning at M8650.v(320): inferring latch(es) for variable \"rx_div2_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 320 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div2_l M8650.v(327) " "Verilog HDL Always Construct warning at M8650.v(327): inferring latch(es) for variable \"rx_div2_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 327 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div4_l_m M8650.v(334) " "Verilog HDL Always Construct warning at M8650.v(334): inferring latch(es) for variable \"rx_div4_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 334 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div4_l M8650.v(341) " "Verilog HDL Always Construct warning at M8650.v(341): inferring latch(es) for variable \"rx_div4_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 341 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_2x_m M8650.v(349) " "Verilog HDL Always Construct warning at M8650.v(349): inferring latch(es) for variable \"n_t_2x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 349 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_2x M8650.v(356) " "Verilog HDL Always Construct warning at M8650.v(356): inferring latch(es) for variable \"n_t_2x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 356 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_5x_m M8650.v(363) " "Verilog HDL Always Construct warning at M8650.v(363): inferring latch(es) for variable \"n_t_5x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 363 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_5x M8650.v(370) " "Verilog HDL Always Construct warning at M8650.v(370): inferring latch(es) for variable \"n_t_5x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 370 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_0_m M8650.v(377) " "Verilog HDL Always Construct warning at M8650.v(377): inferring latch(es) for variable \"gdollar_0_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 377 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_0 M8650.v(384) " "Verilog HDL Always Construct warning at M8650.v(384): inferring latch(es) for variable \"gdollar_0\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 384 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd1745_m M8650.v(391) " "Verilog HDL Always Construct warning at M8650.v(391): inferring latch(es) for variable \"bd1745_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 391 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd1745 M8650.v(398) " "Verilog HDL Always Construct warning at M8650.v(398): inferring latch(es) for variable \"bd1745\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 398 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_active_m M8650.v(427) " "Verilog HDL Always Construct warning at M8650.v(427): inferring latch(es) for variable \"rx_active_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 427 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_active M8650.v(437) " "Verilog HDL Always Construct warning at M8650.v(437): inferring latch(es) for variable \"rx_active\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 437 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "p_pulse_l_m M8650.v(447) " "Verilog HDL Always Construct warning at M8650.v(447): inferring latch(es) for variable \"p_pulse_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 447 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "p_pulse_l M8650.v(454) " "Verilog HDL Always Construct warning at M8650.v(454): inferring latch(es) for variable \"p_pulse_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 454 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "last_unit_m M8650.v(462) " "Verilog HDL Always Construct warning at M8650.v(462): inferring latch(es) for variable \"last_unit_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 462 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "last_unit M8650.v(469) " "Verilog HDL Always Construct warning at M8650.v(469): inferring latch(es) for variable \"last_unit\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 469 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div8_m M8650.v(476) " "Verilog HDL Always Construct warning at M8650.v(476): inferring latch(es) for variable \"rx_div8_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 476 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rx_div8 M8650.v(483) " "Verilog HDL Always Construct warning at M8650.v(483): inferring latch(es) for variable \"rx_div8\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 483 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_div_m M8650.v(581) " "Verilog HDL Always Construct warning at M8650.v(581): inferring latch(es) for variable \"tx_div_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 581 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_div M8650.v(588) " "Verilog HDL Always Construct warning at M8650.v(588): inferring latch(es) for variable \"tx_div\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 588 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "spike_det_l_m M8650.v(596) " "Verilog HDL Always Construct warning at M8650.v(596): inferring latch(es) for variable \"spike_det_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 596 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "spike_det_l M8650.v(606) " "Verilog HDL Always Construct warning at M8650.v(606): inferring latch(es) for variable \"spike_det_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 606 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd115200_m M8650.v(617) " "Verilog HDL Always Construct warning at M8650.v(617): inferring latch(es) for variable \"bd115200_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 617 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd115200 M8650.v(624) " "Verilog HDL Always Construct warning at M8650.v(624): inferring latch(es) for variable \"bd115200\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 624 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd57600_m M8650.v(631) " "Verilog HDL Always Construct warning at M8650.v(631): inferring latch(es) for variable \"bd57600_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 631 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd57600 M8650.v(638) " "Verilog HDL Always Construct warning at M8650.v(638): inferring latch(es) for variable \"bd57600\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 638 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd38400_m M8650.v(645) " "Verilog HDL Always Construct warning at M8650.v(645): inferring latch(es) for variable \"bd38400_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 645 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "bd38400 M8650.v(652) " "Verilog HDL Always Construct warning at M8650.v(652): inferring latch(es) for variable \"bd38400\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 652 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_1_m M8650.v(659) " "Verilog HDL Always Construct warning at M8650.v(659): inferring latch(es) for variable \"gdollar_1_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 659 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_1 M8650.v(666) " "Verilog HDL Always Construct warning at M8650.v(666): inferring latch(es) for variable \"gdollar_1\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 666 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_active_l_m M8650.v(678) " "Verilog HDL Always Construct warning at M8650.v(678): inferring latch(es) for variable \"tx_active_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 678 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_active_l M8650.v(685) " "Verilog HDL Always Construct warning at M8650.v(685): inferring latch(es) for variable \"tx_active_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 685 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "start_l_m M8650.v(692) " "Verilog HDL Always Construct warning at M8650.v(692): inferring latch(es) for variable \"start_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 692 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "start_l M8650.v(699) " "Verilog HDL Always Construct warning at M8650.v(699): inferring latch(es) for variable \"start_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 699 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "stp1_m M8650.v(731) " "Verilog HDL Always Construct warning at M8650.v(731): inferring latch(es) for variable \"stp1_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 731 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "stp1 M8650.v(738) " "Verilog HDL Always Construct warning at M8650.v(738): inferring latch(es) for variable \"stp1\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 738 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_2_m M8650.v(745) " "Verilog HDL Always Construct warning at M8650.v(745): inferring latch(es) for variable \"gdollar_2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 745 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_2 M8650.v(752) " "Verilog HDL Always Construct warning at M8650.v(752): inferring latch(es) for variable \"gdollar_2\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 752 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "stp2_m M8650.v(759) " "Verilog HDL Always Construct warning at M8650.v(759): inferring latch(es) for variable \"stp2_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 759 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "stp2 M8650.v(766) " "Verilog HDL Always Construct warning at M8650.v(766): inferring latch(es) for variable \"stp2\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 766 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "gdollar_3_m M8650.v(773) " "Verilog HDL Always Construct warning at M8650.v(773): inferring latch(es) for variable \"gdollar_3_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 773 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_60x_m M8650.v(797) " "Verilog HDL Always Construct warning at M8650.v(797): inferring latch(es) for variable \"n_t_60x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 797 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_60x M8650.v(805) " "Verilog HDL Always Construct warning at M8650.v(805): inferring latch(es) for variable \"n_t_60x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 805 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_62x_m M8650.v(812) " "Verilog HDL Always Construct warning at M8650.v(812): inferring latch(es) for variable \"n_t_62x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 812 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_62x M8650.v(820) " "Verilog HDL Always Construct warning at M8650.v(820): inferring latch(es) for variable \"n_t_62x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 820 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_56x_m M8650.v(827) " "Verilog HDL Always Construct warning at M8650.v(827): inferring latch(es) for variable \"n_t_56x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 827 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_56x M8650.v(835) " "Verilog HDL Always Construct warning at M8650.v(835): inferring latch(es) for variable \"n_t_56x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 835 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_61x_m M8650.v(842) " "Verilog HDL Always Construct warning at M8650.v(842): inferring latch(es) for variable \"n_t_61x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 842 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_61x M8650.v(850) " "Verilog HDL Always Construct warning at M8650.v(850): inferring latch(es) for variable \"n_t_61x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 850 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "serial_out_m M8650.v(858) " "Verilog HDL Always Construct warning at M8650.v(858): inferring latch(es) for variable \"serial_out_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 858 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "serial_out M8650.v(868) " "Verilog HDL Always Construct warning at M8650.v(868): inferring latch(es) for variable \"serial_out\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 868 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "enab_m M8650.v(878) " "Verilog HDL Always Construct warning at M8650.v(878): inferring latch(es) for variable \"enab_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 878 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "enab M8650.v(885) " "Verilog HDL Always Construct warning at M8650.v(885): inferring latch(es) for variable \"enab\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 885 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_63x_m M8650.v(902) " "Verilog HDL Always Construct warning at M8650.v(902): inferring latch(es) for variable \"n_t_63x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 902 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_63x M8650.v(910) " "Verilog HDL Always Construct warning at M8650.v(910): inferring latch(es) for variable \"n_t_63x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 910 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_65x_m M8650.v(917) " "Verilog HDL Always Construct warning at M8650.v(917): inferring latch(es) for variable \"n_t_65x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 917 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_65x M8650.v(925) " "Verilog HDL Always Construct warning at M8650.v(925): inferring latch(es) for variable \"n_t_65x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 925 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_66x_m M8650.v(932) " "Verilog HDL Always Construct warning at M8650.v(932): inferring latch(es) for variable \"n_t_66x_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 932 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "n_t_66x M8650.v(940) " "Verilog HDL Always Construct warning at M8650.v(940): inferring latch(es) for variable \"n_t_66x\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 940 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_data_m M8650.v(947) " "Verilog HDL Always Construct warning at M8650.v(947): inferring latch(es) for variable \"tx_data_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 947 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tx_data M8650.v(955) " "Verilog HDL Always Construct warning at M8650.v(955): inferring latch(es) for variable \"tx_data\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 955 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tflg_l_m M8650.v(980) " "Verilog HDL Always Construct warning at M8650.v(980): inferring latch(es) for variable \"tflg_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 980 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "tflg_l M8650.v(990) " "Verilog HDL Always Construct warning at M8650.v(990): inferring latch(es) for variable \"tflg_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 990 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "int_enab_l_m M8650.v(1000) " "Verilog HDL Always Construct warning at M8650.v(1000): inferring latch(es) for variable \"int_enab_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1000 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "int_enab_l M8650.v(1007) " "Verilog HDL Always Construct warning at M8650.v(1007): inferring latch(es) for variable \"int_enab_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1007 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rflg_l_m M8650.v(1069) " "Verilog HDL Always Construct warning at M8650.v(1069): inferring latch(es) for variable \"rflg_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1069 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "rflg_l M8650.v(1076) " "Verilog HDL Always Construct warning at M8650.v(1076): inferring latch(es) for variable \"rflg_l\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1076 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "r_run_l_m M8650.v(1083) " "Verilog HDL Always Construct warning at M8650.v(1083): inferring latch(es) for variable \"r_run_l_m\", which holds its previous value in one or more paths through the always construct" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1083 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rflg_l M8650.v(1076) " "Inferred latch for \"rflg_l\" at M8650.v(1076)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1076 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rflg_l_m M8650.v(1069) " "Inferred latch for \"rflg_l_m\" at M8650.v(1069)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1069 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "int_enab_l M8650.v(1007) " "Inferred latch for \"int_enab_l\" at M8650.v(1007)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1007 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "int_enab_l_m M8650.v(1000) " "Inferred latch for \"int_enab_l_m\" at M8650.v(1000)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 1000 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tflg_l M8650.v(990) " "Inferred latch for \"tflg_l\" at M8650.v(990)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 990 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tflg_l_m M8650.v(980) " "Inferred latch for \"tflg_l_m\" at M8650.v(980)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 980 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_data M8650.v(955) " "Inferred latch for \"tx_data\" at M8650.v(955)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 955 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_data_m M8650.v(947) " "Inferred latch for \"tx_data_m\" at M8650.v(947)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 947 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_66x M8650.v(940) " "Inferred latch for \"n_t_66x\" at M8650.v(940)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 940 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_66x_m M8650.v(932) " "Inferred latch for \"n_t_66x_m\" at M8650.v(932)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 932 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_65x M8650.v(925) " "Inferred latch for \"n_t_65x\" at M8650.v(925)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 925 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_65x_m M8650.v(917) " "Inferred latch for \"n_t_65x_m\" at M8650.v(917)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 917 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_63x M8650.v(910) " "Inferred latch for \"n_t_63x\" at M8650.v(910)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 910 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_63x_m M8650.v(902) " "Inferred latch for \"n_t_63x_m\" at M8650.v(902)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 902 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064914 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "enab M8650.v(885) " "Inferred latch for \"enab\" at M8650.v(885)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 885 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "enab_m M8650.v(878) " "Inferred latch for \"enab_m\" at M8650.v(878)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 878 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "serial_out M8650.v(868) " "Inferred latch for \"serial_out\" at M8650.v(868)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 868 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "serial_out_m M8650.v(858) " "Inferred latch for \"serial_out_m\" at M8650.v(858)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 858 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_61x M8650.v(850) " "Inferred latch for \"n_t_61x\" at M8650.v(850)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 850 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_61x_m M8650.v(842) " "Inferred latch for \"n_t_61x_m\" at M8650.v(842)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 842 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_56x M8650.v(835) " "Inferred latch for \"n_t_56x\" at M8650.v(835)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 835 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_56x_m M8650.v(827) " "Inferred latch for \"n_t_56x_m\" at M8650.v(827)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 827 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_62x M8650.v(820) " "Inferred latch for \"n_t_62x\" at M8650.v(820)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 820 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_62x_m M8650.v(812) " "Inferred latch for \"n_t_62x_m\" at M8650.v(812)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 812 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_60x M8650.v(805) " "Inferred latch for \"n_t_60x\" at M8650.v(805)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 805 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_60x_m M8650.v(797) " "Inferred latch for \"n_t_60x_m\" at M8650.v(797)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 797 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "stp2 M8650.v(766) " "Inferred latch for \"stp2\" at M8650.v(766)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 766 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "stp2_m M8650.v(759) " "Inferred latch for \"stp2_m\" at M8650.v(759)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 759 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "gdollar_2 M8650.v(752) " "Inferred latch for \"gdollar_2\" at M8650.v(752)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 752 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "gdollar_2_m M8650.v(745) " "Inferred latch for \"gdollar_2_m\" at M8650.v(745)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 745 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "stp1 M8650.v(738) " "Inferred latch for \"stp1\" at M8650.v(738)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 738 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "stp1_m M8650.v(731) " "Inferred latch for \"stp1_m\" at M8650.v(731)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 731 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "start_l M8650.v(699) " "Inferred latch for \"start_l\" at M8650.v(699)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 699 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "start_l_m M8650.v(692) " "Inferred latch for \"start_l_m\" at M8650.v(692)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 692 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_active_l M8650.v(685) " "Inferred latch for \"tx_active_l\" at M8650.v(685)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 685 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_active_l_m M8650.v(678) " "Inferred latch for \"tx_active_l_m\" at M8650.v(678)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 678 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd38400 M8650.v(652) " "Inferred latch for \"bd38400\" at M8650.v(652)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 652 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd38400_m M8650.v(645) " "Inferred latch for \"bd38400_m\" at M8650.v(645)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 645 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd57600 M8650.v(638) " "Inferred latch for \"bd57600\" at M8650.v(638)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 638 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd57600_m M8650.v(631) " "Inferred latch for \"bd57600_m\" at M8650.v(631)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 631 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd115200 M8650.v(624) " "Inferred latch for \"bd115200\" at M8650.v(624)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 624 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd115200_m M8650.v(617) " "Inferred latch for \"bd115200_m\" at M8650.v(617)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 617 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "spike_det_l M8650.v(606) " "Inferred latch for \"spike_det_l\" at M8650.v(606)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 606 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "spike_det_l_m M8650.v(596) " "Inferred latch for \"spike_det_l_m\" at M8650.v(596)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 596 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_div M8650.v(588) " "Inferred latch for \"tx_div\" at M8650.v(588)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 588 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "tx_div_m M8650.v(581) " "Inferred latch for \"tx_div_m\" at M8650.v(581)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 581 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div8 M8650.v(483) " "Inferred latch for \"rx_div8\" at M8650.v(483)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 483 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div8_m M8650.v(476) " "Inferred latch for \"rx_div8_m\" at M8650.v(476)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 476 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "last_unit M8650.v(469) " "Inferred latch for \"last_unit\" at M8650.v(469)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 469 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "last_unit_m M8650.v(462) " "Inferred latch for \"last_unit_m\" at M8650.v(462)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 462 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "p_pulse_l M8650.v(454) " "Inferred latch for \"p_pulse_l\" at M8650.v(454)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 454 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "p_pulse_l_m M8650.v(447) " "Inferred latch for \"p_pulse_l_m\" at M8650.v(447)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 447 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_active M8650.v(437) " "Inferred latch for \"rx_active\" at M8650.v(437)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 437 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_active_m M8650.v(427) " "Inferred latch for \"rx_active_m\" at M8650.v(427)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 427 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd1745 M8650.v(398) " "Inferred latch for \"bd1745\" at M8650.v(398)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 398 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "bd1745_m M8650.v(391) " "Inferred latch for \"bd1745_m\" at M8650.v(391)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 391 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "gdollar_0 M8650.v(384) " "Inferred latch for \"gdollar_0\" at M8650.v(384)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 384 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "gdollar_0_m M8650.v(377) " "Inferred latch for \"gdollar_0_m\" at M8650.v(377)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 377 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_5x M8650.v(370) " "Inferred latch for \"n_t_5x\" at M8650.v(370)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 370 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_5x_m M8650.v(363) " "Inferred latch for \"n_t_5x_m\" at M8650.v(363)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 363 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_2x M8650.v(356) " "Inferred latch for \"n_t_2x\" at M8650.v(356)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 356 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "n_t_2x_m M8650.v(349) " "Inferred latch for \"n_t_2x_m\" at M8650.v(349)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 349 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div4_l M8650.v(341) " "Inferred latch for \"rx_div4_l\" at M8650.v(341)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 341 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div4_l_m M8650.v(334) " "Inferred latch for \"rx_div4_l_m\" at M8650.v(334)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 334 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div2_l M8650.v(327) " "Inferred latch for \"rx_div2_l\" at M8650.v(327)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 327 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "rx_div2_l_m M8650.v(320) " "Inferred latch for \"rx_div2_l_m\" at M8650.v(320)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 320 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ck_pulse M8650.v(312) " "Inferred latch for \"ck_pulse\" at M8650.v(312)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 312 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "ck_pulse_m M8650.v(305) " "Inferred latch for \"ck_pulse_m\" at M8650.v(305)" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 305 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 1 0 "Quartus II" 0 -1 1686381064930 "|m8650"} { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC_HDR" "" "The following nodes have both tri-state and non-tri-state drivers" { { "Warning" "WMLS_OPT_INSERTED_ALWAYS_ENABLED_TRI_AFTER_LOGIC" "int_enab " "Inserted always-enabled tri-state buffer between \"int_enab\" and its non-tri-state driver." { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 26 -1 0 } } } 0 13035 "Inserted always-enabled tri-state buffer between \"%1!s!\" and its non-tri-state driver." 0 0 "Quartus II" 0 -1 1686381065205 ""} } { } 0 13034 "The following nodes have both tri-state and non-tri-state drivers" 0 0 "Quartus II" 0 -1 1686381065205 ""} { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_HDR" "" "One or more bidirs are fed by always enabled tri-state buffers" { { "Info" "IMLS_MLS_FANOUT_MOVED_FOR_PERMANENTLY_ENABLED_TRI_SUB" "int_enab " "Fan-out of permanently enabled tri-state buffer feeding bidir \"int_enab\" is moved to its source" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 26 -1 0 } } } 0 13061 "Fan-out of permanently enabled tri-state buffer feeding bidir \"%1!s!\" is moved to its source" 0 0 "Quartus II" 0 -1 1686381065211 ""} } { } 0 13060 "One or more bidirs are fed by always enabled tri-state buffers" 0 0 "Quartus II" 0 -1 1686381065211 ""} { "Warning" "WMLS_MLS_ENABLED_OE" "" "TRI or OPNDRN buffers permanently enabled" { { "Warning" "WMLS_MLS_NODE_NAME" "int_enab~synth " "Node \"int_enab~synth\"" { } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 26 -1 0 } } } 0 13010 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381065246 ""} } { } 0 13009 "TRI or OPNDRN buffers permanently enabled" 0 0 "Quartus II" 0 -1 1686381065246 ""} { "Info" "ICUT_CUT_TM_SUMMARY" "178 " "Implemented 178 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "18 " "Implemented 18 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1686381065384 ""} { "Info" "ICUT_CUT_TM_OPINS" "9 " "Implemented 9 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1686381065384 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "10 " "Implemented 10 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1686381065384 ""} { "Info" "ICUT_CUT_TM_MCELLS" "118 " "Implemented 118 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1686381065384 ""} { "Info" "ICUT_CUT_TM_SEXPS" "23 " "Implemented 23 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1686381065384 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1686381065384 ""} { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/output_files/M8650.map.smsg " "Generated suppressed messages file //wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/output_files/M8650.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1686381065492 ""} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 7 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 7 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4572 " "Peak virtual memory: 4572 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1686381065571 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Jun 10 00:11:05 2023 " "Processing ended: Sat Jun 10 00:11:05 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1686381065571 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1686381065571 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1686381065571 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1686381065571 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1686381066868 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1686381066884 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Jun 10 00:11:06 2023 " "Processing started: Sat Jun 10 00:11:06 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1686381066884 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1686381066884 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off M8650 -c M8650 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off M8650 -c M8650" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1686381066884 ""} { "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1686381066956 ""} { "Info" "0" "" "Project = M8650" { } { } 0 0 "Project = M8650" 0 0 "Fitter" 0 0 1686381066957 ""} { "Info" "0" "" "Revision = M8650" { } { } 0 0 "Revision = M8650" 0 0 "Fitter" 0 0 1686381066957 ""} { "Info" "IMPP_MPP_USER_DEVICE" "M8650 EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"M8650\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1686381067133 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TCK " "Node \"TCK\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "TCK" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1686381067172 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TDI " "Node \"TDI\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "TDI" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1686381067172 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TDO " "Node \"TDO\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "TDO" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1686381067172 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "TMS " "Node \"TMS\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin64/Assignment Editor.qase" 1 { { 0 "TMS" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1686381067172 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1686381067172 ""} { "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4701 " "Peak virtual memory: 4701 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1686381067414 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Jun 10 00:11:07 2023 " "Processing ended: Sat Jun 10 00:11:07 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1686381067414 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1686381067414 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1686381067414 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1686381067414 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1686381068445 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1686381068451 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Jun 10 00:11:08 2023 " "Processing started: Sat Jun 10 00:11:08 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1686381068451 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1686381068451 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off M8650 -c M8650 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off M8650 -c M8650" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1686381068451 ""} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1686381068728 ""} { "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4513 " "Peak virtual memory: 4513 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1686381068925 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Jun 10 00:11:08 2023 " "Processing ended: Sat Jun 10 00:11:08 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1686381068925 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:00 " "Elapsed time: 00:00:00" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1686381068925 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1686381068925 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1686381068925 ""} { "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1686381069583 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1686381070071 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070087 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Jun 10 00:11:09 2023 " "Processing started: Sat Jun 10 00:11:09 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1686381070087 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1686381070087 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta M8650 -c M8650 " "Command: quartus_sta M8650 -c M8650" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1686381070087 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1686381070154 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1686381070398 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1686381070414 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1686381070445 ""} { "Info" "ISTA_SDC_FOUND" "M8650.sdc " "Reading SDC File: 'M8650.sdc'" { } { } 0 332104 "Reading SDC File: '%1!s!'" 0 0 "Quartus II" 0 -1 1686381070477 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "serial_out\$latch~10\|\[4\] " "Node \"serial_out\$latch~10\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "serial_out\$latch~10\|dataout " "Node \"serial_out\$latch~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 868 0 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "serial_out_m~10\|dataout " "Node \"serial_out_m~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "serial_out_m~10\|\[4\] " "Node \"serial_out_m~10\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 80 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "start_l~9\|dataout " "Node \"start_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "start_l~9\|\[2\] " "Node \"start_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 129 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "start_l_m~9\|dataout " "Node \"start_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "start_l_m~9\|\[1\] " "Node \"start_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 82 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "tx_data~9\|dataout " "Node \"tx_data~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "tx_data~9\|\[3\] " "Node \"tx_data~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 142 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "tx_data_m~16\|dataout " "Node \"tx_data_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "tx_data_m~16\|\[2\] " "Node \"tx_data_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 87 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "46 " "Found combinational loop of 46 nodes" { { "Warning" "WSTA_SCC_NODE" "bd1745~9\|dataout " "Node \"bd1745~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745~9\|\[2\] " "Node \"bd1745~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~1sexp\|datain\[0\] " "Node \"div11~1sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~1sexp\|dataout " "Node \"div11~1sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745~9\|\[3\] " "Node \"bd1745~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745_m~9\|\[3\] " "Node \"bd1745_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745_m~9\|dataout " "Node \"bd1745_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745_m~9\|\[2\] " "Node \"bd1745_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745~9\|\[0\] " "Node \"bd1745~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0~9\|\[3\] " "Node \"gdollar_0~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0~9\|dataout " "Node \"gdollar_0~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745~9\|\[1\] " "Node \"bd1745~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0~9\|\[2\] " "Node \"gdollar_0~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0_m~9\|\[0\] " "Node \"gdollar_0_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0_m~9\|dataout " "Node \"gdollar_0_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0_m~9\|\[2\] " "Node \"gdollar_0_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0~9\|\[0\] " "Node \"gdollar_0~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745_m~9\|\[1\] " "Node \"bd1745_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0_m~9\|\[3\] " "Node \"gdollar_0_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x~9\|\[3\] " "Node \"n_t_5x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x~9\|dataout " "Node \"n_t_5x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0~9\|\[1\] " "Node \"gdollar_0~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x~9\|\[2\] " "Node \"n_t_5x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~1sexp\|datain\[2\] " "Node \"div11~1sexp\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~4\|datain\[2\] " "Node \"div11~4\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~4\|dataout " "Node \"div11~4\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x~9\|\[3\] " "Node \"n_t_2x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x~9\|dataout " "Node \"n_t_2x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x~9\|\[1\] " "Node \"n_t_5x~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~1sexp\|datain\[1\] " "Node \"div11~1sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x~9\|\[2\] " "Node \"n_t_2x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~4\|datain\[1\] " "Node \"div11~4\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x_m~9\|\[0\] " "Node \"n_t_2x_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x_m~9\|dataout " "Node \"n_t_2x_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x_m~9\|\[2\] " "Node \"n_t_2x_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x~9\|\[0\] " "Node \"n_t_2x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x_m~9\|\[1\] " "Node \"n_t_5x_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x_m~9\|dataout " "Node \"n_t_5x_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x_m~9\|\[2\] " "Node \"n_t_5x_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x~9\|\[0\] " "Node \"n_t_5x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_2x_m~9\|\[3\] " "Node \"n_t_2x_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x_m~9\|\[0\] " "Node \"n_t_5x_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_0_m~9\|\[1\] " "Node \"gdollar_0_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_5x_m~9\|\[3\] " "Node \"n_t_5x_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "div11~4\|datain\[0\] " "Node \"div11~4\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "bd1745_m~9\|\[0\] " "Node \"bd1745_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 97 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 152 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 53 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 96 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 58 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 95 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 94 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 64 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 66 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "p_pulse_l~9\|dataout " "Node \"p_pulse_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "p_pulse_l~9\|\[2\] " "Node \"p_pulse_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 103 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "p_pulse_l_m~9\|dataout " "Node \"p_pulse_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "p_pulse_l_m~9\|\[1\] " "Node \"p_pulse_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 73 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "rflg_l~9\|dataout " "Node \"rflg_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rflg_l~9\|\[2\] " "Node \"rflg_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 145 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "rflg_l_m~9\|dataout " "Node \"rflg_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rflg_l_m~9\|\[2\] " "Node \"rflg_l_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 75 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_LOOP" "94 " "Found combinational loop of 94 nodes" { { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|dataout " "Node \"ck_pulse~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_70x~4\|\[3\] " "Node \"n_t_70x~4\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_70x~4\|dataout " "Node \"n_t_70x~4\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active~10\|\[1\] " "Node \"rx_active~10\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active~10\|dataout " "Node \"rx_active~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|\[3\] " "Node \"rx_div4_l~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|dataout " "Node \"rx_div4_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|\[2\] " "Node \"rx_div4_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8~9\|\[1\] " "Node \"rx_div8~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8~9\|dataout " "Node \"rx_div8~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[6\] " "Node \"spike_det_l~10\|\[6\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|dataout " "Node \"spike_det_l~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[2\] " "Node \"spike_det_l~10\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_70x~4\|\[1\] " "Node \"n_t_70x~4\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_91x~1sexp\|datain\[1\] " "Node \"n_t_91x~1sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_91x~1sexp\|dataout " "Node \"n_t_91x~1sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit~9\|\[3\] " "Node \"last_unit~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit~9\|dataout " "Node \"last_unit~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|\[4\] " "Node \"rx_div4_l~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|\[4\] " "Node \"rx_div4_l_m~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|dataout " "Node \"rx_div4_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|\[2\] " "Node \"rx_div4_l_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|\[0\] " "Node \"rx_div4_l~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l~9\|\[4\] " "Node \"rx_div2_l~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l~9\|dataout " "Node \"rx_div2_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l~9\|\[1\] " "Node \"rx_div4_l~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l~9\|\[2\] " "Node \"rx_div2_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l_m~9\|\[0\] " "Node \"rx_div2_l_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l_m~9\|dataout " "Node \"rx_div2_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l_m~9\|\[2\] " "Node \"rx_div2_l_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l~9\|\[0\] " "Node \"rx_div2_l~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|\[1\] " "Node \"rx_div4_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l_m~9\|\[4\] " "Node \"rx_div2_l_m~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_last_l~1sexp\|datain\[1\] " "Node \"rx_last_l~1sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_last_l~1sexp\|dataout " "Node \"rx_last_l~1sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8~9\|\[3\] " "Node \"rx_div8~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8_m~9\|\[3\] " "Node \"rx_div8_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8_m~9\|dataout " "Node \"rx_div8_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8_m~9\|\[2\] " "Node \"rx_div8_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8~9\|\[0\] " "Node \"rx_div8~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active~10\|\[6\] " "Node \"rx_active~10\|\[6\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active_m~13\|\[6\] " "Node \"rx_active_m~13\|\[6\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active_m~13\|dataout " "Node \"rx_active_m~13\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active_m~13\|\[1\] " "Node \"rx_active_m~13\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active~10\|\[0\] " "Node \"rx_active~10\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_again_l~2sexp\|datain\[3\] " "Node \"rx_again_l~2sexp\|datain\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_again_l~2sexp\|dataout " "Node \"rx_again_l~2sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[3\] " "Node \"spike_det_l~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~13\|\[1\] " "Node \"spike_det_l_m~13\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~13\|dataout " "Node \"spike_det_l_m~13\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~13\|\[0\] " "Node \"spike_det_l_m~13\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[0\] " "Node \"spike_det_l~10\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_70x~4\|\[0\] " "Node \"n_t_70x~4\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit~9\|\[2\] " "Node \"last_unit~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "comb~10\|\[5\] " "Node \"comb~10\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "comb~10\|dataout " "Node \"comb~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active_m~13\|\[0\] " "Node \"rx_active_m~13\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit_m~9\|\[3\] " "Node \"last_unit_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit_m~9\|dataout " "Node \"last_unit_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit_m~9\|\[2\] " "Node \"last_unit_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit~9\|\[0\] " "Node \"last_unit~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|\[4\] " "Node \"ck_pulse~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8~9\|\[2\] " "Node \"rx_div8~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8_m~9\|\[0\] " "Node \"rx_div8_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active8_l~1sexp\|datain\[1\] " "Node \"rx_active8_l~1sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active8_l~1sexp\|dataout " "Node \"rx_active8_l~1sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[4\] " "Node \"spike_det_l~10\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~5sexp\|datain\[1\] " "Node \"spike_det_l_m~5sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~5sexp\|dataout " "Node \"spike_det_l_m~5sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l_m~13\|\[2\] " "Node \"spike_det_l_m~13\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_70x~4\|\[4\] " "Node \"n_t_70x~4\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|\[5\] " "Node \"ck_pulse~9\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse_m~9\|\[2\] " "Node \"ck_pulse_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse_m~9\|dataout " "Node \"ck_pulse_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse_m~9\|\[1\] " "Node \"ck_pulse_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|\[0\] " "Node \"ck_pulse~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div8_m~9\|\[1\] " "Node \"rx_div8_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|\[0\] " "Node \"rx_div4_l_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div4_l_m~9\|\[3\] " "Node \"rx_div4_l_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l~9\|\[3\] " "Node \"rx_div2_l~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_div2_l_m~9\|\[3\] " "Node \"rx_div2_l_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active~10\|\[3\] " "Node \"rx_active~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active_m~13\|\[5\] " "Node \"rx_active_m~13\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "spike_det_l~10\|\[5\] " "Node \"spike_det_l~10\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_again_l~2sexp\|datain\[2\] " "Node \"rx_again_l~2sexp\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "n_t_91x~1sexp\|datain\[0\] " "Node \"n_t_91x~1sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|\[3\] " "Node \"ck_pulse~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_active8_l~1sexp\|datain\[0\] " "Node \"rx_active8_l~1sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "comb~10\|\[4\] " "Node \"comb~10\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "rx_last_l~1sexp\|datain\[0\] " "Node \"rx_last_l~1sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "comb~10\|\[1\] " "Node \"comb~10\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit~9\|\[1\] " "Node \"last_unit~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "ck_pulse~9\|\[2\] " "Node \"ck_pulse~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Warning" "WSTA_SCC_NODE" "last_unit_m~9\|\[1\] " "Node \"last_unit_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070508 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 91 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 206 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 437 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 93 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 105 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 123 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 104 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 78 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 92 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 77 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 216 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 79 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 76 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 81 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 63 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 213 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 56 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070508 ""} { "Critical Warning" "WSTA_SCC_LOOP_TOO_BIG" "94 " "Design contains combinational loop of 94 nodes. Estimating the delays through the loop." { } { } 1 332081 "Design contains combinational loop of %1!d! nodes. Estimating the delays through the loop." 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "int_enab_l~9\|dataout " "Node \"int_enab_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "int_enab_l~9\|\[2\] " "Node \"int_enab_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 144 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "int_enab_l_m~9\|dataout " "Node \"int_enab_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "int_enab_l_m~9\|\[1\] " "Node \"int_enab_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 62 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "tflg_l~10\|dataout " "Node \"tflg_l~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tflg_l~10\|\[3\] " "Node \"tflg_l~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 143 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "tflg_l_m~10\|dataout " "Node \"tflg_l_m~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tflg_l_m~10\|\[2\] " "Node \"tflg_l_m~10\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 85 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "140 " "Found combinational loop of 140 nodes" { { "Warning" "WSTA_SCC_NODE" "n_t_60x~9\|dataout " "Node \"n_t_60x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[8\] " "Node \"n_t_108x~10\|datain\[8\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|dataout " "Node \"n_t_108x~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp3\|datain\[0\] " "Node \"n_t_108x~8sexp3\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp3\|dataout " "Node \"n_t_108x~8sexp3\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l_m~9\|\[5\] " "Node \"tx_active_l_m~9\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l_m~9\|dataout " "Node \"tx_active_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l_m~9\|\[1\] " "Node \"tx_active_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l~9\|\[0\] " "Node \"tx_active_l~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l~9\|dataout " "Node \"tx_active_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l~9\|\[2\] " "Node \"tx_active_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp3\|datain\[1\] " "Node \"n_t_108x~8sexp3\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~3\|\[2\] " "Node \"tx_shift_l~3\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~3\|dataout " "Node \"tx_shift_l~3\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x~9\|\[2\] " "Node \"n_t_66x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x~9\|dataout " "Node \"n_t_66x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x~9\|\[3\] " "Node \"n_t_66x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[3\] " "Node \"n_t_108x~10\|datain\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~16\|\[2\] " "Node \"n_t_66x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~16\|dataout " "Node \"n_t_66x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~16\|\[3\] " "Node \"n_t_66x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x~9\|\[0\] " "Node \"n_t_66x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x~9\|\[2\] " "Node \"n_t_65x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x~9\|dataout " "Node \"n_t_65x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~7\|\[2\] " "Node \"n_t_66x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~7\|dataout " "Node \"n_t_66x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_66x_m~16\|\[0\] " "Node \"n_t_66x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x~9\|\[3\] " "Node \"n_t_65x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[2\] " "Node \"n_t_108x~10\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~16\|\[2\] " "Node \"n_t_65x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~16\|dataout " "Node \"n_t_65x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~16\|\[3\] " "Node \"n_t_65x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x~9\|\[0\] " "Node \"n_t_65x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x~9\|\[2\] " "Node \"n_t_63x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x~9\|dataout " "Node \"n_t_63x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[7\] " "Node \"n_t_108x~10\|datain\[7\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x~9\|\[3\] " "Node \"n_t_63x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~7\|\[2\] " "Node \"n_t_65x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~7\|dataout " "Node \"n_t_65x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_65x_m~16\|\[0\] " "Node \"n_t_65x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~16\|\[2\] " "Node \"n_t_63x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~16\|dataout " "Node \"n_t_63x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~16\|\[3\] " "Node \"n_t_63x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x~9\|\[0\] " "Node \"n_t_63x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x~9\|\[2\] " "Node \"n_t_61x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x~9\|dataout " "Node \"n_t_61x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[4\] " "Node \"n_t_108x~10\|datain\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x~9\|\[3\] " "Node \"n_t_61x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~7\|\[2\] " "Node \"n_t_63x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~7\|dataout " "Node \"n_t_63x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_63x_m~16\|\[0\] " "Node \"n_t_63x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~16\|\[2\] " "Node \"n_t_61x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~16\|dataout " "Node \"n_t_61x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~16\|\[3\] " "Node \"n_t_61x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x~9\|\[0\] " "Node \"n_t_61x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x~9\|\[2\] " "Node \"n_t_56x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x~9\|dataout " "Node \"n_t_56x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[6\] " "Node \"n_t_108x~10\|datain\[6\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x~9\|\[3\] " "Node \"n_t_56x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~7\|\[2\] " "Node \"n_t_61x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~7\|dataout " "Node \"n_t_61x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_61x_m~16\|\[0\] " "Node \"n_t_61x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~16\|\[2\] " "Node \"n_t_56x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~16\|dataout " "Node \"n_t_56x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~16\|\[3\] " "Node \"n_t_56x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x~9\|\[0\] " "Node \"n_t_56x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x~9\|\[2\] " "Node \"n_t_62x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x~9\|dataout " "Node \"n_t_62x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~7\|\[2\] " "Node \"n_t_56x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~7\|dataout " "Node \"n_t_56x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_56x_m~16\|\[0\] " "Node \"n_t_56x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x~9\|\[3\] " "Node \"n_t_62x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[1\] " "Node \"n_t_108x~10\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~16\|\[2\] " "Node \"n_t_62x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~16\|dataout " "Node \"n_t_62x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~16\|\[3\] " "Node \"n_t_62x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x~9\|\[0\] " "Node \"n_t_62x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x~9\|\[2\] " "Node \"n_t_60x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~16\|\[2\] " "Node \"n_t_60x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~16\|dataout " "Node \"n_t_60x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~16\|\[3\] " "Node \"n_t_60x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x~9\|\[0\] " "Node \"n_t_60x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab~9\|\[2\] " "Node \"enab~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab~9\|dataout " "Node \"enab~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[5\] " "Node \"n_t_108x~10\|datain\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~7\|\[2\] " "Node \"n_t_60x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~7\|dataout " "Node \"n_t_60x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x_m~16\|\[0\] " "Node \"n_t_60x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp2\|datain\[1\] " "Node \"n_t_108x~8sexp2\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp2\|dataout " "Node \"n_t_108x~8sexp2\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l_m~9\|\[4\] " "Node \"tx_active_l_m~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab~9\|\[3\] " "Node \"enab~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp1\|datain\[3\] " "Node \"n_t_108x~8sexp1\|datain\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp1\|dataout " "Node \"n_t_108x~8sexp1\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_active_l_m~9\|\[3\] " "Node \"tx_active_l_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab_m~9\|\[2\] " "Node \"enab_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab_m~9\|dataout " "Node \"enab_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab_m~9\|\[3\] " "Node \"enab_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "enab~9\|\[0\] " "Node \"enab~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1_m~9\|\[0\] " "Node \"stp1_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1_m~9\|dataout " "Node \"stp1_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1_m~9\|\[3\] " "Node \"stp1_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1~9\|\[0\] " "Node \"stp1~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1~9\|dataout " "Node \"stp1~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp2\|datain\[2\] " "Node \"n_t_108x~8sexp2\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1~9\|\[3\] " "Node \"stp1~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2_m~9\|\[0\] " "Node \"gdollar_2_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2_m~9\|dataout " "Node \"gdollar_2_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2_m~9\|\[3\] " "Node \"gdollar_2_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2~9\|\[0\] " "Node \"gdollar_2~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2~9\|dataout " "Node \"gdollar_2~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2~9\|\[3\] " "Node \"gdollar_2~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2_m~9\|\[0\] " "Node \"stp2_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2_m~9\|dataout " "Node \"stp2_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2_m~9\|\[3\] " "Node \"stp2_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2~9\|\[0\] " "Node \"stp2~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2~9\|dataout " "Node \"stp2~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2~9\|\[3\] " "Node \"stp2~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~8sexp1\|datain\[0\] " "Node \"n_t_108x~8sexp1\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~4sexp\|datain\[0\] " "Node \"tx_shift_l~4sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~4sexp\|dataout " "Node \"tx_shift_l~4sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div_m~9\|\[3\] " "Node \"tx_div_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div_m~9\|dataout " "Node \"tx_div_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div_m~9\|\[1\] " "Node \"tx_div_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div~9\|\[0\] " "Node \"tx_div~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div~9\|dataout " "Node \"tx_div~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_div~9\|\[2\] " "Node \"tx_div~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~4sexp\|datain\[1\] " "Node \"tx_shift_l~4sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_108x~10\|datain\[0\] " "Node \"n_t_108x~10\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "tx_shift_l~3\|\[3\] " "Node \"tx_shift_l~3\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2~9\|\[1\] " "Node \"stp2~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp2_m~9\|\[1\] " "Node \"stp2_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2~9\|\[1\] " "Node \"gdollar_2~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "gdollar_2_m~9\|\[1\] " "Node \"gdollar_2_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1~9\|\[1\] " "Node \"stp1~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "stp1_m~9\|\[1\] " "Node \"stp1_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_60x~9\|\[3\] " "Node \"n_t_60x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~7\|\[2\] " "Node \"n_t_62x_m~7\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~7\|dataout " "Node \"n_t_62x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_62x_m~16\|\[0\] " "Node \"n_t_62x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 134 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 184 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 86 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 128 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 232 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 141 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 72 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 140 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 71 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 139 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 70 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 137 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 68 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 136 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 65 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 135 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 69 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 67 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 138 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 57 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 83 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 130 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 60 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 131 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 84 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 132 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 88 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 122 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Critical Warning" "WSTA_SCC_LOOP_TOO_BIG" "140 " "Design contains combinational loop of 140 nodes. Estimating the delays through the loop." { } { } 1 332081 "Design contains combinational loop of %1!d! nodes. Estimating the delays through the loop." 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_LOOP" "31 " "Found combinational loop of 31 nodes" { { "Warning" "WSTA_SCC_NODE" "bd115200~9\|dataout " "Node \"bd115200~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600~9\|\[1\] " "Node \"bd57600~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600~9\|dataout " "Node \"bd57600~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400~9\|\[1\] " "Node \"bd38400~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400~9\|dataout " "Node \"bd38400~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400~9\|\[2\] " "Node \"bd38400~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_3x~0sexp\|datain\[0\] " "Node \"n_t_3x~0sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_3x~0sexp\|dataout " "Node \"n_t_3x~0sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400~9\|\[3\] " "Node \"bd38400~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400_m~9\|\[3\] " "Node \"bd38400_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400_m~9\|dataout " "Node \"bd38400_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400_m~9\|\[2\] " "Node \"bd38400_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400~9\|\[0\] " "Node \"bd38400~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600~9\|\[3\] " "Node \"bd57600~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600_m~9\|\[3\] " "Node \"bd57600_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600_m~9\|dataout " "Node \"bd57600_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600_m~9\|\[2\] " "Node \"bd57600_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600~9\|\[0\] " "Node \"bd57600~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200~9\|\[3\] " "Node \"bd115200~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200_m~9\|\[3\] " "Node \"bd115200_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200_m~9\|dataout " "Node \"bd115200_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200_m~9\|\[2\] " "Node \"bd115200_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200~9\|\[0\] " "Node \"bd115200~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400_m~9\|\[0\] " "Node \"bd38400_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600~9\|\[2\] " "Node \"bd57600~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "n_t_3x~0sexp\|datain\[1\] " "Node \"n_t_3x~0sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600_m~9\|\[0\] " "Node \"bd57600_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd38400_m~9\|\[1\] " "Node \"bd38400_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200~9\|\[2\] " "Node \"bd115200~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd115200_m~9\|\[0\] " "Node \"bd115200_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Warning" "WSTA_SCC_NODE" "bd57600_m~9\|\[1\] " "Node \"bd57600_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1686381070524 ""} } { { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 124 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 125 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 126 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 193 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 54 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 55 -1 0 } } { "M8650.v" "" { Text "//wsl\$/Debian/home/vrs/Eagle/projects/DEC/Mxxx/M8650/pld/M8650.v" 52 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1686381070524 ""} { "Info" "ISTA_UNKNOWN_UNATE_EDGE_ASSUMED_POS" "" "The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network." { { "Info" "ISTA_CLOCK_MGR_INFO" "From: bd115200_m~9\|\[1\] to: bd38400~9\|dataout " "From: bd115200_m~9\|\[1\] to: bd38400~9\|dataout" { } { } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070602 ""} { "Info" "ISTA_CLOCK_MGR_INFO" "From: bd115200~9\|\[1\] to: bd38400~9\|dataout " "From: bd115200~9\|\[1\] to: bd38400~9\|dataout" { } { } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070602 ""} { "Info" "ISTA_CLOCK_MGR_INFO" "From: n_t_2x_m~9\|\[1\] to: bd1745~9\|dataout " "From: n_t_2x_m~9\|\[1\] to: bd1745~9\|dataout" { } { } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070602 ""} { "Info" "ISTA_CLOCK_MGR_INFO" "From: n_t_2x~9\|\[1\] to: bd1745~9\|dataout " "From: n_t_2x~9\|\[1\] to: bd1745~9\|dataout" { } { } 0 332098 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070602 ""} } { } 0 332097 "The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network." 0 0 "Quartus II" 0 -1 1686381070602 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF" 0 0 "Quartus II" 0 0 1686381070618 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1686381070697 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -297.000 " "Worst-case setup slack is -297.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -297.000 -2649.000 bd9600 " " -297.000 -2649.000 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -296.000 -2648.500 bd600 " " -296.000 -2648.500 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -296.000 -2640.000 bd1200 " " -296.000 -2640.000 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -278.500 -2469.500 bd230400 " " -278.500 -2469.500 bd230400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -12.000 -12.000 bd218 " " -12.000 -12.000 bd218 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -3.500 bd19200 " " -3.500 -3.500 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -3.500 bd2400 " " -3.500 -3.500 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -3.500 bd436 " " -3.500 -3.500 bd436 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -3.500 bd4800 " " -3.500 -3.500 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -3.500 bd873 " " -3.500 -3.500 bd873 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1686381070707 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -173.000 " "Worst-case hold slack is -173.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -173.000 -1511.000 bd230400 " " -173.000 -1511.000 bd230400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -110.000 -884.000 bd1200 " " -110.000 -884.000 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -110.000 -880.000 bd600 " " -110.000 -880.000 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -109.000 -876.000 bd9600 " " -109.000 -876.000 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -84.000 -88.000 bd19200 " " -84.000 -88.000 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -4.000 bd2400 " " -4.000 -4.000 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -4.000 bd436 " " -4.000 -4.000 bd436 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -4.000 bd4800 " " -4.000 -4.000 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -4.000 bd873 " " -4.000 -4.000 bd873 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 bd218 " " 5.000 0.000 bd218 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1686381070711 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Recovery " "No Recovery paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1686381070727 ""} { "Info" "ISTA_NO_PATHS_TO_REPORT" "Removal " "No Removal paths to report" { } { } 0 332140 "No %1!s! paths to report" 0 0 "Quartus II" 0 -1 1686381070727 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -173.500 " "Worst-case minimum pulse width slack is -173.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -173.500 -10403.000 bd230400 " " -173.500 -10403.000 bd230400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -111.500 -7664.000 bd1200 " " -111.500 -7664.000 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -111.500 -7664.000 bd600 " " -111.500 -7664.000 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -111.500 -7664.000 bd9600 " " -111.500 -7664.000 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -59.500 -344.000 bd19200 " " -59.500 -344.000 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 bd218 " " -5.500 -11.000 bd218 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 bd2400 " " -5.500 -11.000 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 bd436 " " -5.500 -11.000 bd436 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 bd4800 " " -5.500 -11.000 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 bd873 " " -5.500 -11.000 bd873 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1686381070743 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1686381071242 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1686381071290 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1686381071290 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 361 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 361 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4522 " "Peak virtual memory: 4522 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1686381071461 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Jun 10 00:11:11 2023 " "Processing ended: Sat Jun 10 00:11:11 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1686381071461 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1686381071461 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1686381071461 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1686381071461 ""} { "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 373 s " "Quartus II Full Compilation was successful. 0 errors, 373 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1686381072664 ""}