Version 4.45.1 JEDEC file for: ATF1508 PLCC84 Created on: Mon Aug 29 16:46:11 2022 * QF74136* QP84 * F0* NOTE 0 0 0 0 0 * L192 1111111111111111 1111111111110111111111111111111111111111 1111011111111111011111111110111111111111* NOTE PT 3 of MC 16(LAB A) * L288 1111111111111111 1111111111111111111111111111111111111111 1111011111111110011111111110111111111111* NOTE PT 2 of MC 16(LAB A) * L576 0111111111111111 1111111111111111111111111111111101111111 1111111111111111110111111111111111111111* NOTE PT 2 of MC 15(LAB A) * L672 0111111111111111 1111111111111111111111111111111111111111 1111111111011111111011111111111111111111* NOTE PT 3 of MC 15(LAB A) * L768 0111111111111111 1111111111111111111111111111111101111111 1111111111011111111111111111111111111111* NOTE PT 4 of MC 15(LAB A) * L1056 0111111111111111 1111011111111111111111011111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 14(LAB A) * L1152 0111111111111111 1111111111111111111111011111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 14(LAB A) * L1248 0111111111111111 1111011111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 2 of MC 14(LAB A) * L1536 0111111111111111 1111111111111111111111011111011111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 13(LAB A) * L1632 0111111111111111 1111111111111111111111101111110111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 13(LAB A) * L1728 0111111111111111 1111111111111111111111111111010111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 13(LAB A) * L2016 0111111111111111 1111111111011111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 4 of MC 12(LAB A) * L2112 0111111111111111 1111111111011111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 12(LAB A) * L2208 0111111111111111 1111111111111111111111111111111011111111 1111111110111111111111111111111111111111* NOTE PT 2 of MC 12(LAB A) * L2496 1111111111111111 1111111111111110111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 11(LAB A) * L2688 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 11(LAB A) * L2976 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 10(LAB A) * L3168 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111101111111111111111* NOTE PT 2 of MC 10(LAB A) * L3456 1111111111111111 1111111111111111111011111111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 9(LAB A) * L3648 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 9(LAB A) * L3936 0111111111111111 1111111111111111111111111111111101111111 1111111111101111111111111111111111111111* NOTE PT 4 of MC 8(LAB A) * L4032 0111111111111111 1111111111111111111111111111111101111111 1111111111111111110111111111111111111111* NOTE PT 3 of MC 8(LAB A) * L4128 0111111111111111 1111111111111111111111111111111111111111 1111111111101111111011111111111111111111* NOTE PT 2 of MC 8(LAB A) * L4416 1111111111111111 1111111111111111111111111110111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 7(LAB A) * L4608 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 7(LAB A) * L4896 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 6(LAB A) * L5088 1111111111111111 1111111111111111111111111111111111111111 0111111111111011111111111111111111111111* NOTE PT 2 of MC 6(LAB A) * L5376 0111111111111111 1111111111111111111111101111111111111111 1111111111101111111111111111111111111111* NOTE PT 2 of MC 5(LAB A) * L5472 0111111111111111 1111011111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 3 of MC 5(LAB A) * L5568 0111111111111111 1111011111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 5(LAB A) * L5856 0111111111111111 1111111111111111111111111111011011111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 4(LAB A) * L5952 0111111111111111 1111111111111111111111011111011111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 4(LAB A) * L6048 0111111111111111 1111111111111111111111101111111011111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 4(LAB A) * L6240 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111110111111111111111111* NOTE PT 1 of MC 3(LAB A) * L6336 0111111111111111 1111111111011111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 3(LAB A) * L6432 0111111111111111 1111111111111111111111111111111011111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 3(LAB A) * L6528 0111111111111111 1111111111011111111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 4 of MC 3(LAB A) * L6816 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 2(LAB A) * L7008 1111111111111111 1111111111111111111111111011111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 2(LAB A) * L7104 1111111111111111 1111111101111111111111111111111111111111 1111111111111111111110111111111111111111* NOTE PT 1 of MC 2(LAB A) * L7200 1111111111111111 1111111111111111111111011111111111111111 1111111101011111111111111111111111111111* NOTE PT 1 of MC 1(LAB A) * L7296 1111111111111111 1111111111111111111111111111111111111111 0111101111111111111111111111111111111111* NOTE PT 2 of MC 1(LAB A) * L7488 1001111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 1(LAB A) * L7680 1011111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 5 of MC 32(LAB B) * L7776 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 32(LAB B) * L7872 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111101111111111111* NOTE PT 3 of MC 32(LAB B) * L7968 1011111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 2 of MC 32(LAB B) * L8256 1111111111111111 1111111111111111111111111111111111111101 1111101111111111111111111111011111111111* NOTE PT 2 of MC 31(LAB B) * L8352 1111111111111111 1111111111111111111111111111111111111111 1111011111011111111111111111011111111111* NOTE PT 3 of MC 31(LAB B) * L8448 1111111111111111 1111111111111111111111111111111111111101 1111111111011111111111111111011111111111* NOTE PT 4 of MC 31(LAB B) * L8736 1111111111111111 1111111111111111111111111111110111111101 1111111111111111111111111111011111111111* NOTE PT 4 of MC 30(LAB B) * L8832 1111111111111111 1111111111111111111111111111111111111101 1111101111111111111111111111011111111111* NOTE PT 3 of MC 30(LAB B) * L8928 1111111111111111 1111111111111111111111111111110111111111 1111011111111111111111111111011111111111* NOTE PT 2 of MC 30(LAB B) * L9216 1111111111111111 1111111111111111111111111111111111111111 1111011111011111111111111111011111111111* NOTE PT 2 of MC 29(LAB B) * L9312 1111111111111111 1111111111111111111111111111111111111111 1111100111111111111111111111011111111111* NOTE PT 3 of MC 29(LAB B) * L9408 1111111111111111 1111111111111111111111111111111111111111 1111110111011111111111111111011111111111* NOTE PT 4 of MC 29(LAB B) * L9792 0111111111111111 1111111111111111111111111101111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 28(LAB B) * L9888 0111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 2 of MC 28(LAB B) * L10176 1111111111111111 1101111111111111111111111111111111111111 1111101111111111111111111111011111111111* NOTE PT 2 of MC 27(LAB B) * L10272 1111111111111111 1111111111111111111111111111110111111111 1111011111111111111111111111011111111111* NOTE PT 3 of MC 27(LAB B) * L10368 1111111111111111 1101111111111111111111111111110111111111 1111111111111111111111111111011111111111* NOTE PT 4 of MC 27(LAB B) * L10560 1111111111111111 1111111111111111111111110111111111111111 1111111111111101111111111111111111111111* NOTE PT 5 of MC 26(LAB B) * L10656 1111111111111111 1111111111111111111111111111111111111111 1111111101111101110111111111111111111111* NOTE PT 4 of MC 26(LAB B) * L10752 1111111111111111 1111111111111111111111111111111111111111 1111111111111101110111111111101111111111* NOTE PT 3 of MC 26(LAB B) * L10848 1111111111111111 1111111111111111111111111111111111111111 1111111101111101111111111111011111111111* NOTE PT 2 of MC 26(LAB B) * L10944 1101111111111111 1111010111111111111111111111111111111111 1110111111111101111111111111110111111111* NOTE PT 1 of MC 26(LAB B) * L11136 1111111111111111 1110111111111111111111111111111111111111 1111111111111011111111111111101111111111* NOTE PT 2 of MC 25(LAB B) * L11232 1111111111111111 1110111111111111111111111111111111011111 1111111111111111111111111111011111111111* NOTE PT 3 of MC 25(LAB B) * L11328 1111111111111111 1110111111111111111111111111111111011111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 25(LAB B) * L11424 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111110111111111111111111* NOTE PT 5 of MC 25(LAB B) * L11520 1111111111111111 1111111101111111011111111011111111111111 1111111111111111111111111011111111111111* NOTE PT 5 of MC 24(LAB B) * L11616 1111111111111111 1111111111011111101111111011111110111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 24(LAB B) * L11712 1111111111111111 1111111111111111011111111011111110111111 1111111111111111111111111011111111111111* NOTE PT 3 of MC 24(LAB B) * L11808 1111111111111111 1111111101111111111111111011111101111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 24(LAB B) * L11904 1111111111111111 1111111101011111101111111011111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 24(LAB B) * L12096 1111111111111111 1111111111111111111111111111111111110111 1111011111111111111111111111011111111111* NOTE PT 2 of MC 23(LAB B) * L12192 1111111111111111 1111111111111111111111111111011111111111 1111101111111111111111111111011111111111* NOTE PT 3 of MC 23(LAB B) * L12288 1111111111111111 1111111111111111111111111111011111110111 1111111111111111111111111111011111111111* NOTE PT 4 of MC 23(LAB B) * L12576 1111111111111111 1111111101111111111111111011111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 22(LAB B) * L12672 1111111111111111 1111111111111111111111111011111110111111 1111111111110111111111111111111111111111* NOTE PT 3 of MC 22(LAB B) * L12768 1111111111111111 1111111101111111111111111011111101111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 22(LAB B) * L13056 1111111111111111 1110111111111111111111111101111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 21(LAB B) * L13152 1111111111111111 1101111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 3 of MC 21(LAB B) * L13248 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 4 of MC 21(LAB B) * L13344 1111111111111111 1111111111111111111111111101111111111111 1111111111111111111101111111111111111111* NOTE PT 5 of MC 21(LAB B) * L13536 1111111111111111 1111111111111111111111111111111111110111 1111110111111111111111111111011111111111* NOTE PT 4 of MC 20(LAB B) * L13632 1111111111111111 1111111111111111111111111111111111110111 1111011111111111111111111111011111111111* NOTE PT 3 of MC 20(LAB B) * L13728 1111111111111111 1111111111111111111111111111111111111111 1111100111111111111111111111011111111111* NOTE PT 2 of MC 20(LAB B) * L13920 1111111111111111 1111110111111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 19(LAB B) * L14016 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111110111111111* NOTE PT 2 of MC 19(LAB B) * L14112 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111011111111111* NOTE PT 3 of MC 19(LAB B) * L14400 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101011111111111* NOTE PT 5 of MC 18(LAB B) * L14496 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 18(LAB B) * L14592 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111011111111111* NOTE PT 3 of MC 18(LAB B) * L14688 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111101111111111111* NOTE PT 2 of MC 18(LAB B) * L14784 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111011111111111* NOTE PT 1 of MC 18(LAB B) * L14880 1111111111111111 1101111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 1 of MC 17(LAB B) * L14976 1111111111111111 1111110111111010110111111111111111111111 1101111111111111011111111111111111111111* NOTE PT 2 of MC 17(LAB B) * L15072 1111111111111111 1111010111111011110111111111111111111111 1101111111111111111011111111111111111111* NOTE PT 3 of MC 17(LAB B) * L15168 1111111111111111 1111010111111010111011101111111111111111 1101111111111111111111111111111111111111* NOTE PT 4 of MC 17(LAB B) * L15264 1111111111111111 1111010111111011111111101111111111111111 1101111111111111111011111111111111111111* NOTE PT 5 of MC 17(LAB B) * L15360 1111111111111111 1111111101111011101110111101101111111111 1111111111111111111011011111011111111111* NOTE PT 5 of MC 48(LAB C) * L15456 1111111111111111 1111111101111011101101111110101111111111 1111111111111111110111011111011111111111* NOTE PT 4 of MC 48(LAB C) * L15552 1111111111111111 1111111101111011101110111101011111111111 1111111111111111111011101111011111111111* NOTE PT 3 of MC 48(LAB C) * L15648 1111111111111111 1111111101111011011110111110011111011111 1111111111111111111011011111011111111111* NOTE PT 2 of MC 48(LAB C) * L15744 1111111111111111 1111111101111011011101111101101111011111 1111111111111111110111011111101111111111* NOTE PT 1 of MC 48(LAB C) * L15936 1111111111111111 1111111101111011011101111110101111101111 1111111111111111111011011111011111111111* NOTE PT 2 of MC 47(LAB C) * L16032 1111111111111111 1111111101111011011101111101011111101111 1111111111111111110111011111101111111111* NOTE PT 3 of MC 47(LAB C) * L16128 1111111111111111 1111111110111011011110111101011111111111 1111111111111111110111101111101111111111* NOTE PT 4 of MC 47(LAB C) * L16224 1111111111111111 1111111101111011101101111110011111111111 1111111111111111110111101111011111111111* NOTE PT 5 of MC 47(LAB C) * L16416 1101111111111111 1111111111111111111111111111111110111111 1111111111011111111111111111111111111111* NOTE PT 4 of MC 46(LAB C) * L16512 1101111111111111 1111111111111111111111111111111111111111 1111111111011111111101111111111111111111* NOTE PT 3 of MC 46(LAB C) * L16608 1101111111111111 1111111111111111111111111111111110111111 1111111111111111111110111111111111111111* NOTE PT 2 of MC 46(LAB C) * L16896 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111110111111111111* NOTE PT 2 of MC 45(LAB C) * L16992 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111110111111111111* NOTE PT 3 of MC 45(LAB C) * L17280 1111111111111111 1111111111111101111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 44(LAB C) * L17376 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 44(LAB C) * L17472 1111111111111111 1111111111111101111111111111111111111111 1111111111111111111110111111111111111111* NOTE PT 3 of MC 44(LAB C) * L17568 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111101111111111111111111* NOTE PT 2 of MC 44(LAB C) * L17856 0111111111111111 1011111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 43(LAB C) * L17952 1111111111111111 1011111111101111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 3 of MC 43(LAB C) * L18048 0111111111111111 1011111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 4 of MC 43(LAB C) * L18336 1111111111111111 1011111111111111111111111111111111111111 1111111111111101111111111101111111111111* NOTE PT 4 of MC 42(LAB C) * L18432 1111111111111111 1011111111011111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 3 of MC 42(LAB C) * L18528 1111111111111111 1011111111101111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 2 of MC 42(LAB C) * L18816 1101111111111111 1111111111111111111111111111111111111111 1111111111011111111101111111111111111111* NOTE PT 2 of MC 41(LAB C) * L18912 1101111111111111 1111111111111111111111111111110111111111 1111111111111111111110111111111111111111* NOTE PT 3 of MC 41(LAB C) * L19008 1101111111111111 1111111111111111111111111111110111111111 1111111111011111111111111111111111111111* NOTE PT 4 of MC 41(LAB C) * L19200 1111111111111111 1111111101111011101110111101101111111111 1111111111111111111011011111011111111111* NOTE PT 5 of MC 40(LAB C) * L19296 1111111111111111 1111111101111011101101111110101111111111 1111111111111111110111011111011111111111* NOTE PT 4 of MC 40(LAB C) * L19392 1111111111111111 1111111101111011101110111101011111111111 1111111111111111111011101111011111111111* NOTE PT 3 of MC 40(LAB C) * L19488 1111111111111111 1111111101111011011110111110011111011111 1111111111111111111011011111011111111111* NOTE PT 2 of MC 40(LAB C) * L19584 1111111111111111 1111111101111011011101111101101111011111 1111111111111111110111011111101111111111* NOTE PT 1 of MC 40(LAB C) * L19776 1111111111111111 1111111101111011011101111110101111101111 1111111111111111111011011111011111111111* NOTE PT 2 of MC 39(LAB C) * L19872 1111111111111111 1111111101111011011101111101011111101111 1111111111111111110111011111101111111111* NOTE PT 3 of MC 39(LAB C) * L19968 1111111111111111 1111111110111011011110111101011111111111 1111111111111111110111101111101111111111* NOTE PT 4 of MC 39(LAB C) * L20064 1111111111111111 1111111101111011101101111110011111111111 1111111111111111110111101111011111111111* NOTE PT 5 of MC 39(LAB C) * L20160 1111111111111111 1111111101111011101101111110101111101111 1111111111111111110111011111011111111111* NOTE PT 5 of MC 38(LAB C) * L20256 1111111111111111 1111111101111011101110111101101111101111 1111111111111111111011011111011111111111* NOTE PT 4 of MC 38(LAB C) * L20352 1111111111111111 1111111101111011101101111110011111101111 1111111111111111110111101111011111111111* NOTE PT 3 of MC 38(LAB C) * L20448 1111111111111111 1111111110111011011110111101011111101111 1111111111111111110111101111101111111111* NOTE PT 2 of MC 38(LAB C) * L20544 1111111111111111 1111111101111011011101111101101111011111 1111111111111111110111011111101111111111* NOTE PT 1 of MC 38(LAB C) * L20736 1111111111111111 1111111101111011101110111101011111101111 1111111111111111111011101111011111111111* NOTE PT 2 of MC 37(LAB C) * L20832 1111111111111111 1111111101111011011110111110011111011111 1111111111111111111011011111011111111111* NOTE PT 3 of MC 37(LAB C) * L21216 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 4 of MC 36(LAB C) * L21408 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 36(LAB C) * L21600 1111111111111111 1111111111111111111111111111111111111111 1111111111111011111111111111110111111111* NOTE PT 1 of MC 35(LAB C) * L21696 1111111111111111 1111111111111111111111111111111101111111 1111111111111111111110111111111111111111* NOTE PT 2 of MC 35(LAB C) * L21792 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111101111111111111111111* NOTE PT 3 of MC 35(LAB C) * L21888 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 35(LAB C) * L21984 1111111111111111 1111111111111111111111110111111101111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 35(LAB C) * L22080 1111111111111111 1111111101111011101101111110101111011111 1111111111111111110111011111011111111111* NOTE PT 5 of MC 34(LAB C) * L22176 1111111111111111 1111111101111011101110111101101111011111 1111111111111111111011011111011111111111* NOTE PT 4 of MC 34(LAB C) * L22272 1111111111111111 1111111101111011101110111101011111011111 1111111111111111111011101111011111111111* NOTE PT 3 of MC 34(LAB C) * L22368 1111111111111111 1111111101111011011101111110101111101111 1111111111111111111011011111011111111111* NOTE PT 2 of MC 34(LAB C) * L22464 1111111111111111 1111111101111011101101111110011111011111 1111111111111111110111101111011111111111* NOTE PT 1 of MC 34(LAB C) * L22560 1111111111111111 1111111111111011111111111111111111111111 1111111111111111111111111011111111111111* NOTE PT 1 of MC 33(LAB C) * L22656 1111111111111111 1111111101111011011101111101011111101111 1111111111111111110111011111101111111111* NOTE PT 2 of MC 33(LAB C) * L22752 1111111111111111 1111111110111011011110111101011111011111 1111111111111111110111101111101111111111* NOTE PT 3 of MC 33(LAB C) * L23136 1111111111111111 1111110111110111111111111111111111111111 1110111111111111011111111111111111111111* NOTE PT 4 of MC 64(LAB D) * L23232 1111111111111111 1111111111110111111111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 3 of MC 64(LAB D) * L23328 1111111111111111 1111111011111111111111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 2 of MC 64(LAB D) * L23520 0111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 63(LAB D) * L23616 1111111111111111 1111110111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 2 of MC 63(LAB D) * L23712 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 3 of MC 63(LAB D) * L23808 1111111111111111 1111111111111111111111111111111111111111 1111111111111111101111111111111111111111* NOTE PT 4 of MC 63(LAB D) * L23904 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111011111111* NOTE PT 5 of MC 63(LAB D) * L24096 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 4 of MC 62(LAB D) * L24288 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 62(LAB D) * L24576 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 61(LAB D) * L24768 1111111111111111 1111111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 61(LAB D) * L24960 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 60(LAB D) * L25056 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 4 of MC 60(LAB D) * L25152 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111011111111* NOTE PT 3 of MC 60(LAB D) * L25248 1111111111111111 1111110111111111111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 2 of MC 60(LAB D) * L25344 1111111111111111 1111110111111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 60(LAB D) * L25536 0111111111111111 1111110111111111111111111111111111111111 1110111111111111011111111111111111111111* NOTE PT 2 of MC 59(LAB D) * L26016 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 4 of MC 58(LAB D) * L26208 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 58(LAB D) * L26496 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 57(LAB D) * L26688 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 57(LAB D) * L26880 1111111111111111 1111111111111111111111111110011111111111 1111111111011111111111111101111111111111* NOTE PT 5 of MC 56(LAB D) * L26976 1111111111111111 1111111111111111111111111101011111111111 1111111111101111111101111111111111111111* NOTE PT 4 of MC 56(LAB D) * L27072 1111111111111111 1111111111111111111111111110011111111111 1111111111101111110111111111111111111111* NOTE PT 3 of MC 56(LAB D) * L27168 1111111111111111 1111111111111111111101111110101111111111 1111111111101111111111111111111111111111* NOTE PT 2 of MC 56(LAB D) * L27264 1111111111111111 1111111111111111111111111101010111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 56(LAB D) * L27456 1111111111111111 1111111111111111111111111110101111111111 1111111111011111111111110111111111111111* NOTE PT 2 of MC 55(LAB D) * L27552 1111111111111111 1111111111111111111111111101101111011111 1111111111011111111111111111111111111111* NOTE PT 3 of MC 55(LAB D) * L27648 1111111111111111 1111111101111111111111111101101111111111 1111111111101111111111111111111111111111* NOTE PT 4 of MC 55(LAB D) * L27936 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111111111111* NOTE PT 4 of MC 54(LAB D) * L28128 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 54(LAB D) * L28800 1111111111111111 1111111111111111110111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 52(LAB D) * L28896 1011111111111111 0111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 52(LAB D) * L28992 1111111111111111 0111111111111111110111111111111111111111 1111111111111111111111111111011111111111* NOTE PT 3 of MC 52(LAB D) * L29088 1011111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111101111111111* NOTE PT 2 of MC 52(LAB D) * L29184 0111111111111111 1111010111111111110111111111111111111111 1110111111111111111111111111110111111111* NOTE PT 1 of MC 52(LAB D) * L29376 1111111111111111 1111111011111101111111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 2 of MC 51(LAB D) * L29472 1111111111111111 1111111111110101111111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 3 of MC 51(LAB D) * L29760 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 50(LAB D) * L29856 1111111111111111 1111111011111111111111111111111111111111 1111111111111101011111111111110111111111* NOTE PT 4 of MC 50(LAB D) * L29952 1111111111111111 1111110111110111111111111111111111111111 1110111111111111011111111111110111111111* NOTE PT 3 of MC 50(LAB D) * L30048 1111111111111111 1111111111110111111111111111111111111111 1111111111111101011111111111110111111111* NOTE PT 2 of MC 50(LAB D) * L30144 1111111111111111 1111111111101111111111101111111110111010 1111111010111011111111111111111111111111* NOTE PT 1 of MC 50(LAB D) * L30240 1111111111111111 1111110111111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 49(LAB D) * L30336 1111111111111111 1111011011111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 49(LAB D) * L30432 1111111111111111 1111011111110111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 3 of MC 49(LAB D) * L30912 1111111111111111 1111111111110111111111111111111111111111 1111011111111111011111111110111111111111* NOTE PT 3 of MC 128(LAB H) * L31008 1111111111111111 1111111111111111111011111111111111111111 1111011111111111011111111110111111111111* NOTE PT 2 of MC 128(LAB H) * L31296 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 127(LAB H) * L31488 1111111111111111 1111111111111111111111111111111111111111 1111111111111111110111111111111111111111* NOTE PT 4 of MC 127(LAB H) * L31872 1111111111111111 1111111111110111111111110111111111111111 1111111111111111011111111110111111111111* NOTE PT 3 of MC 126(LAB H) * L31968 1111111111111111 1111111111111111111011110111111111111111 1111111111111111011111111110111111111111* NOTE PT 2 of MC 126(LAB H) * L32256 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 125(LAB H) * L32448 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 4 of MC 125(LAB H) * L32736 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 4 of MC 124(LAB H) * L32928 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 124(LAB H) * L33216 1111111111111111 1111111111111111111011111111111101111111 1111111111111111011111111110111111111111* NOTE PT 2 of MC 123(LAB H) * L33312 1111111111111111 1111111111110111111111111111111101111111 1111111111111111011111111110111111111111* NOTE PT 3 of MC 123(LAB H) * L33696 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111111111111111111* NOTE PT 4 of MC 122(LAB H) * L33888 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 122(LAB H) * L34176 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 121(LAB H) * L34368 1111111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 4 of MC 121(LAB H) * L34656 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 120(LAB H) * L34848 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 120(LAB H) * L35136 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 119(LAB H) * L35520 1111111111111111 1111111111111111111110111111111111111111 1111111111101111111111111111111111111111* NOTE PT 5 of MC 118(LAB H) * L35616 1111111111111111 1111111111111111011111111111111111111111 1111111111101111111111111111110111111111* NOTE PT 4 of MC 118(LAB H) * L35712 1111111111111111 1111111111111111011111111111101111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 118(LAB H) * L35808 1111111111111111 1111111111111111111111111111011111111111 1111111111101111111111111111110111111111* NOTE PT 2 of MC 118(LAB H) * L36096 0111111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111111111111* NOTE PT 2 of MC 117(LAB H) * L36192 0111111111111111 0111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 117(LAB H) * L36576 1111111111111111 1111111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 116(LAB H) * L36768 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 116(LAB H) * L37056 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 115(LAB H) * L37248 1111111111111111 1111110111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 115(LAB H) * L37536 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111110111111111111111* NOTE PT 4 of MC 114(LAB H) * L37728 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 114(LAB H) * L37920 1111111111111111 1111101111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 113(LAB H) * L38016 1111111111111111 0111011111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 113(LAB H) * L38112 1111111111111111 1111101111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 3 of MC 113(LAB H) * L38208 1111111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111111111111* NOTE PT 4 of MC 113(LAB H) * L38304 1111111111111111 0111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 5 of MC 113(LAB H) * L38976 1111111111111111 1111111111111111111111111011111110011111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 111(LAB G) * L39072 1111111111111111 1111111111111111111111111011111101111111 1111111111111111110111111111111111111111* NOTE PT 3 of MC 111(LAB G) * L39168 1111111111111111 1111111111111111111111111011111111011111 1111111111111111110111111111111111111111* NOTE PT 4 of MC 111(LAB G) * L39552 1111111111111111 1111111111111111101111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 110(LAB G) * L39648 1111111111111111 1111111111111111011011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 110(LAB G) * L39936 1111111111111111 1111111111111111111111111011111110111111 1111111111111111111111111101111111111111* NOTE PT 2 of MC 109(LAB G) * L40032 1111111111111111 1111110111111111111111111011111101111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 109(LAB G) * L40128 1111111111111111 1111110111111111111111111011111111111111 1111111111111111111111111101111111111111* NOTE PT 4 of MC 109(LAB G) * L40416 1111111111111111 1111111101111111111111011011111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 108(LAB G) * L40512 1111111111111111 1111111111111111111111011011111101111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 108(LAB G) * L40608 1111111111111111 1111111101111111111111111011111110111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 108(LAB G) * L40896 1111111111111111 1111111111111111011111111011111110111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 107(LAB G) * L40992 1111111111111111 1111111111111111111111111011111101111111 1111111111111111111111111111110111111111* NOTE PT 3 of MC 107(LAB G) * L41088 1111111111111111 1111111111111111011111111011111111111111 1111111111111111111111111111110111111111* NOTE PT 4 of MC 107(LAB G) * L41376 1111111111111111 1111111111111111111111111011111111111101 1111111111111111111111110111111111111111* NOTE PT 4 of MC 106(LAB G) * L41472 1111111111111111 1111111111111111111111111011111110111101 1111111111111111111111111111111111111111* NOTE PT 3 of MC 106(LAB G) * L41568 1111111111111111 1111111111111111111111111011111101111111 1111111111111111111111110111111111111111* NOTE PT 2 of MC 106(LAB G) * L41856 1111111111111111 1111110111111111111111111011111101111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 105(LAB G) * L41952 1111111111111111 1111111111011111111111111011111110111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 105(LAB G) * L42048 1111111111111111 1111110111011111111111111011111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 105(LAB G) * L42336 1111111111111111 1111111111111111111111111011111111111111 1111111101111111111111111111110111111111* NOTE PT 4 of MC 104(LAB G) * L42432 1111111111111111 1111111111111111111111111011111110111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 104(LAB G) * L42528 1111111111111111 1111111111111111111111111011111101111111 1111111111111111111111111111110111111111* NOTE PT 2 of MC 104(LAB G) * L42816 1101001111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 2 of MC 103(LAB G) * L42912 1111111111111111 1111111111111111111111111111111111111111 0111011111111111111111111111111111111111* NOTE PT 3 of MC 103(LAB G) * L43008 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 103(LAB G) * L43104 1101001111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 5 of MC 103(LAB G) * L43392 1111111111111111 1111111111111111101111111111111111111101 1111111111111111111111111111111111111111* NOTE PT 3 of MC 102(LAB G) * L43488 1111111111111111 1111111111111110011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 102(LAB G) * L43584 0111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 102(LAB G) * L43680 1011111111111111 1111111111111111111111111111111111111111 1111111101111101111111111111111111111111* NOTE PT 1 of MC 101(LAB G) * L43776 1111111111111111 1111111111111111011110111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 101(LAB G) * L43872 1111111111111111 1111111111111111101111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 3 of MC 101(LAB G) * L44640 1111111111111111 0111111111111111111111111111101011111111 1111111101111111111111101111111111111111* NOTE PT 1 of MC 99(LAB G) * L44736 1111111111111111 1111111111111111111111011011111101111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 99(LAB G) * L44832 1111111111111111 1111111111111111111111111011111110111111 1111111111110111111111111111111111111111* NOTE PT 3 of MC 99(LAB G) * L44928 1111111111111111 1111111111111111111111011011111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 99(LAB G) * L45216 1111111111111111 1111111111111111111111111011111111111111 1111111111111111110101111111111111111111* NOTE PT 4 of MC 98(LAB G) * L45312 1111111111111111 1111111111111111111111111011111110111111 1111111111111111111101111111111111111111* NOTE PT 3 of MC 98(LAB G) * L45408 1111111111111111 1111111111111111111111111011111101111111 1111111111111111110111111111111111111111* NOTE PT 2 of MC 98(LAB G) * L45504 1111111111111111 1111111111111111111111111111101011111111 1111111111111111111111101111111111111111* NOTE PT 1 of MC 98(LAB G) * L45600 1111111111111111 1111111111101111111111111111111111111010 1111111010101011111110111111101111111111* NOTE PT 1 of MC 97(LAB G) * L45696 1111111111111111 1111111111111111111111111001111110111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 97(LAB G) * L45792 1111111111111111 1111111111111111111111111011111101111111 1111111111111111111111110111111111111111* NOTE PT 3 of MC 97(LAB G) * L45888 1111111111111111 1111111111111111111111111001111111111111 1111111111111111111111110111111111111111* NOTE PT 4 of MC 97(LAB G) * L46176 1101111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101111011111111* NOTE PT 4 of MC 96(LAB F) * L46272 1101111111111111 1111111111111111111111111111111111110111 1111111111111111111111111101111111111111* NOTE PT 3 of MC 96(LAB F) * L46368 1101111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111011111111* NOTE PT 2 of MC 96(LAB F) * L46656 1101111111111111 1111111111111111111111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 2 of MC 95(LAB F) * L46848 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 4 of MC 95(LAB F) * L47040 1011111111111111 1111111111111111110111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 94(LAB F) * L47136 1011111111111111 1111111111111111111111111111111111011111 1111111111110111111111111111110111111111* NOTE PT 4 of MC 94(LAB F) * L47232 1011111111111111 0111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 94(LAB F) * L47328 0011111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 94(LAB F) * L47616 1111111111111111 1111111111111111111111111111111111111011 1111111111111111101111111111111111111111* NOTE PT 2 of MC 93(LAB F) * L47712 1111111111111111 1111111111111111111111111111111111111101 1111111111111111011111111111111111111111* NOTE PT 3 of MC 93(LAB F) * L47808 1111111111111111 1111111111111111111111111111111011111111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 93(LAB F) * L47904 1111111111111111 1111111111111111111111111111111111111001 1111111111111111111111111111111111111111* NOTE PT 5 of MC 93(LAB F) * L48192 1011011111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 92(LAB F) * L48288 1011111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 92(LAB F) * L48576 1111111111111111 1111111111111111111111111111111111111111 1111110111111111101111111111111111111111* NOTE PT 2 of MC 91(LAB F) * L48672 1111111111111111 1111110111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 3 of MC 91(LAB F) * L48768 1111111111111111 1111111111111111111111111111111011111111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 91(LAB F) * L48864 1111111111111111 1111110111111111111111111111111111111111 1111111111111111101111111111111111111111* NOTE PT 5 of MC 91(LAB F) * L49056 1101111111111111 1111111111111111111111111111111111111111 1111111111111111111111111101110111111111* NOTE PT 4 of MC 90(LAB F) * L49152 1101111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111110111111111* NOTE PT 3 of MC 90(LAB F) * L49248 1101111111111111 1111111111111111111111111111111111110111 1111111111111111111111111101111111111111* NOTE PT 2 of MC 90(LAB F) * L49536 1111111111111111 1111111111111111111111111111111111111111 0111111111111101111111111111111111111111* NOTE PT 2 of MC 89(LAB F) * L49728 1110101111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 89(LAB F) * L50112 1111111111111111 1111111111111111111111111111111011111111 1111011111111001111111010111111111111111* NOTE PT 3 of MC 88(LAB F) * L50208 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111110111011111111111* NOTE PT 2 of MC 88(LAB F) * L50496 1111111111111111 1111111111111111111111111111111011111111 1111011111111001111111011111111111111111* NOTE PT 2 of MC 87(LAB F) * L50592 1111111111111111 1111111111111111111111111111111101111111 1111111111111111111111011111111111111111* NOTE PT 3 of MC 87(LAB F) * L51072 1111111111111111 1111111111111111111111111111111111101111 1111111111111110111101111111111111111111* NOTE PT 3 of MC 86(LAB F) * L51168 0111111111111111 1111111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 86(LAB F) * L51264 1111111111111111 1111111111111111111111111111111111111111 1111111111111111011110111111111111111111* NOTE PT 1 of MC 86(LAB F) * L51360 0111111111111111 1011111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 85(LAB F) * L51456 1111111111111111 1111110111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 2 of MC 85(LAB F) * L51552 1111111111111111 1111111111111111111111111111111111111111 1111110111111111011111111111111111111111* NOTE PT 3 of MC 85(LAB F) * L51648 1111111111111111 1111111111111111111111111111111011111111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 85(LAB F) * L51744 1111111111111111 1111110111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 5 of MC 85(LAB F) * L51840 1111111111111111 1111111111111111111111111111111111110101 1111111111111111111111111111111111111111* NOTE PT 5 of MC 84(LAB F) * L51936 1111111111111111 1111111111111111111111111111111011111111 1111111111111011111111111111111111111111* NOTE PT 4 of MC 84(LAB F) * L52032 1111111111111111 1111111111111111111111111111111111110111 1111111111111111101111111111111111111111* NOTE PT 3 of MC 84(LAB F) * L52128 1111111111111111 1111111111111111111111111111111111111101 1111111111111111011111111111111111111111* NOTE PT 2 of MC 84(LAB F) * L52224 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111110111111111111111111* NOTE PT 1 of MC 84(LAB F) * L52320 1111111111111111 1111111111111111111111111111111011111111 1111111111111011111111111111111111111111* NOTE PT 1 of MC 83(LAB F) * L52416 1111111111111111 1111111111111111111111111111011111111111 1111011111110111111111111111110111111111* NOTE PT 2 of MC 83(LAB F) * L52512 0111111111111111 1111111111111111111111111111111111111111 1111011111111111111101111111111111111111* NOTE PT 3 of MC 83(LAB F) * L52608 1111111111111111 1111111111111111111111111111011111111111 1111011111111111111101111111111111111111* NOTE PT 4 of MC 83(LAB F) * L52992 1111111111111111 1111111111111111111111111111011111111111 1111011111111111111111111111111111111111* NOTE PT 3 of MC 82(LAB F) * L53088 0111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 2 of MC 82(LAB F) * L53184 1111111111111111 1111111111111111111111111111111011111111 1111011111111001111111111111111111111111* NOTE PT 1 of MC 82(LAB F) * L53280 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111110111111111* NOTE PT 1 of MC 81(LAB F) * L53376 1111111111111111 1111111111111111111111111111111101111111 1111111111111111111111011111011111111111* NOTE PT 2 of MC 81(LAB F) * L53472 1111111111111111 1111111111111111111111111111111011111111 1111011111111001111111011111111111111111* NOTE PT 3 of MC 81(LAB F) * L53568 1111111111111111 1111111111111111111111111111111110111111 1111111111110111111111011111111111111111* NOTE PT 4 of MC 81(LAB F) * L53664 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111011111011111111111* NOTE PT 5 of MC 81(LAB F) * L53952 1111111111111111 1111111111111111101111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 80(LAB E) * L54048 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111110111111111111* NOTE PT 2 of MC 80(LAB E) * L54336 1111111111111111 1111111111111111111111111011011111111111 1111111111111111111110111111111111111111* NOTE PT 2 of MC 79(LAB E) * L54432 1111111111111111 1111111111011111111111111011111111111111 1111111111111111111101111111111111111111* NOTE PT 3 of MC 79(LAB E) * L54528 1111111111111111 1111111111011111111111111011011111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 79(LAB E) * L54912 1111111111111111 1111111111111111101111111111111111111111 1111110111111111111111111111111111111111* NOTE PT 3 of MC 78(LAB E) * L55008 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 2 of MC 78(LAB E) * L55296 1111111111111111 1111111111111111111111111111111111111111 0111011111111111111111111111111111111111* NOTE PT 2 of MC 77(LAB E) * L55392 1111111111111111 1111111111111111111111111111111111111111 1101101111111111111111111111111111111111* NOTE PT 3 of MC 77(LAB E) * L55488 1111111111111111 1111111111111111111111110111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 77(LAB E) * L55584 1111111111111111 1111111111111111111111111111111111111111 0101111111111111111111111111111111111111* NOTE PT 5 of MC 77(LAB E) * L55776 1111111111111111 1111111111111111111111111011111111111111 1111111111011111111111111111011111111111* NOTE PT 4 of MC 76(LAB E) * L55872 1111111111111111 1111111111111111111111111011111111111111 1111111111011111111110111111111111111111* NOTE PT 3 of MC 76(LAB E) * L55968 1111111111111111 1111111111111111111111111011111111111111 1111111111111111111101111111011111111111* NOTE PT 2 of MC 76(LAB E) * L56832 1111111111111111 1111111111111111101111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 3 of MC 74(LAB E) * L56928 1111111111111111 1111111111111111011111111111111110111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 74(LAB E) * L57216 1111111111111111 1111111111011111111111111011111111111111 1111111111111111111101111111111111111111* NOTE PT 2 of MC 73(LAB E) * L57312 1111111111111111 1111111111111111111111111011111111111111 1111110111111111111110111111111111111111* NOTE PT 3 of MC 73(LAB E) * L57408 1111111111111111 1111111111011111111111111011111111111111 1111110111111111111111111111111111111111* NOTE PT 4 of MC 73(LAB E) * L58176 1111111111111111 1111111111111111111111111011111111111111 1111111111111111111101110111111111111111* NOTE PT 2 of MC 71(LAB E) * L58272 1111111111111111 1111111111111111111111111011111111110111 1111111111111111111110111111111111111111* NOTE PT 3 of MC 71(LAB E) * L58368 1111111111111111 1111111111111111111111111011111111110111 1111111111111111111111110111111111111111* NOTE PT 4 of MC 71(LAB E) * L58656 1111111111111111 1111111111111111111111111011111111111111 1111111111110111111111111111011111111111* NOTE PT 4 of MC 70(LAB E) * L58752 1111111111111111 1111111111111111111111111011111111111111 1111111111111111111101111111011111111111* NOTE PT 3 of MC 70(LAB E) * L58848 1111111111111111 1111111111111111111111111011111111111111 1111111111110111111110111111111111111111* NOTE PT 2 of MC 70(LAB E) * L59136 1111111111111111 1111111011111111111111111111111111111111 1111111111111110010111111111111111111111* NOTE PT 2 of MC 69(LAB E) * L59232 1111111111111111 1111111111110111111111111111111111111111 1111111111111110010111111111111111111111* NOTE PT 3 of MC 69(LAB E) * L59616 1111111111111111 1101111111111111111111111011111111111111 1111111111111111111111110111111111111111* NOTE PT 4 of MC 68(LAB E) * L59712 1111111111111111 1111111111111111111111111011111111111111 1111111111111111111101110111111111111111* NOTE PT 3 of MC 68(LAB E) * L59808 1111111111111111 1101111111111111111111111011111111111111 1111111111111111111110111111111111111111* NOTE PT 2 of MC 68(LAB E) * L60096 1111111111111111 1111111011111111110111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 2 of MC 67(LAB E) * L60192 1111111111111111 1111111111110111110111111111111111111111 1111111111111110011111111111111111111111* NOTE PT 3 of MC 67(LAB E) * L60672 1111111111111111 1111111111111111101111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 66(LAB E) * L60768 1111111111111111 1111111111111111011110111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 66(LAB E) * L61056 1111111111111111 1111111011111111111111011111111111111111 1111111111111110011111111111111111111111* NOTE PT 2 of MC 65(LAB E) * L61152 1111111111111111 1111111111110111111111011111111111111111 1111111111111110011111111111111111111111* NOTE PT 3 of MC 65(LAB E) * NOTE macrocell configurations 0 0 0 0 * L61440 1111111111111111* L61456 11110000001111001111110000000010* NOTE S16,S12 of block A * L61488 01000000001001100010010000000110* NOTE S14,S11 of block A * L61520 1111111111111111* L61536 00000100000000000000001000000000* NOTE S16,S12 of block B * L61568 00000000000000000000000000000010* NOTE S14,S11 of block B * L61600 1111111111111111* L61616 01000011010000000000000001000000* NOTE S16,S12 of block C * L61648 00000010000000000100000000000000* NOTE S14,S11 of block C * L61680 1111111111111111* L61696 01000100111100001111110011110000* NOTE S16,S12 of block D * L61728 01000000001000000000000000100000* NOTE S14,S11 of block D * L61760 1111111111111111* L61776 10011001100110011001100110011001* NOTE S9 ,S6 of block A * L61808 00000000000000000000000000000000* NOTE S13,S10 of block A * L61840 1111111111111111* L61856 10011001100110001000100110011001* NOTE S9 ,S6 of block B * L61888 00000000000000000000000000000000* NOTE S13,S10 of block B * L61920 1111111111111111* L61936 11001011110011001001100110011100* NOTE S9 ,S6 of block C * L61968 00000000000000000000000011000000* NOTE S13,S10 of block C * L62000 1111111111111111* L62016 10011000101111001111100011110001* NOTE S9 ,S6 of block D * L62048 00001111000011110000110100000000* NOTE S13,S10 of block D * L62080 1111111111111111* L62096 00000110010000100000001001100111* NOTE S20,S18 of block A * L62128 00000000000000000000000000000000* NOTE S8 ,S21 of block A * L62160 1111111111111111* L62176 11100110011001100110011001100110* NOTE S20,S18 of block B * L62208 00000000000000000000000000000000* NOTE S8 ,S21 of block B * L62240 1111111111111111* L62256 00100100001000110110011011100010* NOTE S20,S18 of block C * L62288 00000000000000000000000000000000* NOTE S8 ,S21 of block C * L62320 1111111111111111* L62336 01101110000000100000111000000111* NOTE S20,S18 of block D * L62368 00000000000000000000001100000000* NOTE S8 ,S21 of block D * L62400 1111111111111111* L62416 00001111110000110000001111111111* NOTE S7 ,S19 of block A * L62448 11111111111111111111111111111111* NOTE S22,S5 of block A * L62480 1111111111111111* L62496 11111111111111111111111111111111* NOTE S7 ,S19 of block B * L62528 11111111111111111111111111111111* NOTE S22,S5 of block B * L62560 1111111111111111* L62576 11111100111111111111111111111111* NOTE S7 ,S19 of block C * L62608 11111111111111111111111111111111* NOTE S22,S5 of block C * L62640 1111111111111111* L62656 11111111110011110000111100001111* NOTE S7 ,S19 of block D * L62688 11111111111111111111111111111111* NOTE S22,S5 of block D * L62720 1111111111111111* L62736 11111111111111111111111111111111* NOTE S23,S4 of block A * L62768 11111111111001101110011111110110* NOTE S3 ,S15 of block A * L62800 1111111111111111* L62816 11111111111111111111111111111111* NOTE S23,S4 of block B * L62848 11111111111111111111111111111111* NOTE S3 ,S15 of block B * L62880 1111111111111111* L62896 11111111111111111111111111111111* NOTE S23,S4 of block C * L62928 11101111111111111110111001111110* NOTE S3 ,S15 of block C * L62960 1111111111111111* L62976 11111111111111111111111111111111* NOTE S23,S4 of block D * L63008 11110110111101101110011011111111* NOTE S3 ,S15 of block D * L63040 1111111111111111* L63056 11110011000011001111001100001111* NOTE S0 ,S1 of block A * L63088 11111001110111111011100111011111* NOTE S17,S2 of block A * L63120 1111111111111111* L63136 11110011001111000011001100111100* NOTE S0 ,S1 of block B * L63168 10111101110111011011110111011111* NOTE S17,S2 of block B * L63200 1111111111111111* L63216 11110011000011111111001111001100* NOTE S0 ,S1 of block C * L63248 10111011110111111111100111011111* NOTE S17,S2 of block C * L63280 1111111111111111* L63296 00111111001111110011111100111111* NOTE S0 ,S1 of block D * L63328 11111101111111011111110111111101* NOTE S17,S2 of block D * L63360 1111111111111111* L63376 00111111010010111111011111101110* NOTE S16,S12 of block H * L63408 01000100001000000000000000000000* NOTE S14,S11 of block H * L63440 1111111111111111* L63456 00000011011000000000000000100011* NOTE S16,S12 of block G * L63488 00000000000000000000000000000000* NOTE S14,S11 of block G * L63520 1111111111111111* L63536 00100000001001101100001000001100* NOTE S16,S12 of block F * L63568 00100000000000100000000000000000* NOTE S14,S11 of block F * L63600 1111111111111111* L63616 01100100010000110010110000100010* NOTE S16,S12 of block E * L63648 00000000000000000000001000100010* NOTE S14,S11 of block E * L63680 1111111111111111* L63696 10111111100111111111101111011101* NOTE S9 ,S6 of block H * L63728 00110011110011111111000011111100* NOTE S13,S10 of block H * L63760 1111111111111111* L63776 10011001100110011001100110011001* NOTE S9 ,S6 of block G * L63808 10000000000000000000000010000000* NOTE S13,S10 of block G * L63840 1111111111111111* L63856 10011001100110011001100110011001* NOTE S9 ,S6 of block F * L63888 00000000000000000000000000000000* NOTE S13,S10 of block F * L63920 1111111111111111* L63936 10011001100110011001100110011001* NOTE S9 ,S6 of block E * L63968 00000000000100001000000000000000* NOTE S13,S10 of block E * L64000 1111111111111111* L64016 01000000011000000000110000110011* NOTE S20,S18 of block H * L64048 00000000000000000000000000000000* NOTE S8 ,S21 of block H * L64080 1111111111111111* L64096 01100100011001100110011001100100* NOTE S20,S18 of block G * L64128 11000000000000000000000011000000* NOTE S8 ,S21 of block G * L64160 1111111111111111* L64176 01100110011001100010011001100010* NOTE S20,S18 of block F * L64208 00000000000000000000000000000000* NOTE S8 ,S21 of block F * L64240 1111111111111111* L64256 11101110111001000110001001100110* NOTE S20,S18 of block E * L64288 00000000001100001100000000000000* NOTE S8 ,S21 of block E * L64320 1111111111111111* L64336 11000000111111000000110000110011* NOTE S7 ,S19 of block H * L64368 11111111111111111101111111111111* NOTE S22,S5 of block H * L64400 1111111111111111* L64416 11111111111111111111111111111111* NOTE S7 ,S19 of block G * L64448 11111111111111111111111111111111* NOTE S22,S5 of block G * L64480 1111111111111111* L64496 11111111111111110011111111110011* NOTE S7 ,S19 of block F * L64528 11111111111111111111111111111111* NOTE S22,S5 of block F * L64560 1111111111111111* L64576 11111111111111111111111111111111* NOTE S7 ,S19 of block E * L64608 11111111111111111111111111111111* NOTE S22,S5 of block E * L64640 1111111111111111* L64656 11111111111111111111111111111111* NOTE S23,S4 of block H * L64688 11101110011101100110111101100111* NOTE S3 ,S15 of block H * L64720 1111111111111111* L64736 11111111111111111111111111111111* NOTE S23,S4 of block G * L64768 01111111111111111111111101111111* NOTE S3 ,S15 of block G * L64800 1111111111111111* L64816 11111111111111111111111111111111* NOTE S23,S4 of block F * L64848 11101111111111101111111111111111* NOTE S3 ,S15 of block F * L64880 1111111111111111* L64896 11111111111111111111111111111111* NOTE S23,S4 of block E * L64928 11111111111011110111111111111111* NOTE S3 ,S15 of block E * L64960 1111111111111111* L64976 11110011001111001111111100111111* NOTE S0 ,S1 of block H * L65008 11111101111111111011110111011111* NOTE S17,S2 of block H * L65040 1111111111111111* L65056 00110000001111000011001100111100* NOTE S0 ,S1 of block G * L65088 10111101110111011011110110011101* NOTE S17,S2 of block G * L65120 1111111111111111* L65136 11110011000011001111001100001100* NOTE S0 ,S1 of block F * L65168 10111001110111111011100111011111* NOTE S17,S2 of block F * L65200 1111111111111111* L65216 11111111111111000011001100111100* NOTE S0 ,S1 of block E * L65248 10111101110111011011111111111111* NOTE S17,S2 of block E * NOTE UIM for block A and H* NOTE 0 0 0* L65280 111111111111111111111111110* NOTE Mux-0 of block A* L65307 111111111111111111111111111* NOTE Mux-1 of block H* L65334 111101111111111111111111111* NOTE Mux-0 of block H* L65361 111111111111111111111111111* NOTE Mux-1 of block A* L65388 011111111111111111111111111* NOTE Mux-2 of block A* L65415 011111111111111111111111111* NOTE Mux-3 of block H* L65442 111111111111111111110111111* NOTE Mux-2 of block H* L65469 111011111111111111111111111* NOTE Mux-3 of block A* L65496 111111101111111111111111111* NOTE Mux-4 of block A* L65523 111111111111111111111111111* NOTE Mux-5 of block H* L65550 111111111111111111111111111* NOTE Mux-4 of block H* L65577 111011111111111111111111111* NOTE Mux-5 of block A* L65604 111110111111111111111111111* NOTE Mux-6 of block A* L65631 111111111111111111111111111* NOTE Mux-7 of block H* L65658 111110111111111111111111111* NOTE Mux-6 of block H* L65685 111111111110111111111111111* NOTE Mux-7 of block A* L65712 111111111111111111111111110* NOTE Mux-8 of block A* L65739 111111111111111111110111111* NOTE Mux-9 of block H* L65766 111011111111111111111111111* NOTE Mux-8 of block H* L65793 111011111111111111111111111* NOTE Mux-9 of block A* L65820 111111111111111111111111111* NOTE Mux-10 of block A* L65847 111111111111111111111111110* NOTE Mux-11 of block H* L65874 110111111111111111111111111* NOTE Mux-10 of block H* L65901 111111111111111011111111111* NOTE Mux-11 of block A* L65928 101111111111111111111111111* NOTE Mux-12 of block A* L65955 111111111111111111111111111* NOTE Mux-13 of block H* L65982 101111111111111111111111111* NOTE Mux-12 of block H* L66009 111011111111111111111111111* NOTE Mux-13 of block A* L66036 110111111111111111111111111* NOTE Mux-14 of block A* L66063 111101111111111111111111111* NOTE Mux-15 of block H* L66090 111111111111101111111111111* NOTE Mux-14 of block H* L66117 111111111111111011111111111* NOTE Mux-15 of block A* L66144 101111111111111111111111111* NOTE Mux-16 of block A* L66171 101111111111111111111111111* NOTE Mux-17 of block H* L66198 110111111111111111111111111* NOTE Mux-16 of block H* L66225 111111111111111111111111011* NOTE Mux-17 of block A* L66252 111111111111111111111111110* NOTE Mux-18 of block A* L66279 111111111111111111111111111* NOTE Mux-19 of block H* L66306 111111111111111111011111111* NOTE Mux-18 of block H* L66333 111111111111111111111111111* NOTE Mux-19 of block A* L66360 111111111111111011111111111* NOTE Mux-20 of block A* L66387 111111111111111111111111111* NOTE Mux-21 of block H* L66414 111111111111111011111111111* NOTE Mux-20 of block H* L66441 111111111111111111111111111* NOTE Mux-21 of block A* L66468 101111111111111111111111111* NOTE Mux-22 of block A* L66495 101111111111111111111111111* NOTE Mux-23 of block H* L66522 110111111111111111111111111* NOTE Mux-22 of block H* L66549 111111111111111111111111111* NOTE Mux-23 of block A* L66576 110111111111111111111111111* NOTE Mux-24 of block A* L66603 111111111101111111111111111* NOTE Mux-25 of block H* L66630 111111111111111111111111111* NOTE Mux-24 of block H* L66657 111111111111111011111111111* NOTE Mux-25 of block A* L66684 110111111111111111111111111* NOTE Mux-26 of block A* L66711 111111111011111111111111111* NOTE Mux-27 of block H* L66738 111011111111111111111111111* NOTE Mux-26 of block H* L66765 111111111111111111110111111* NOTE Mux-27 of block A* L66792 111111111111111111111111101* NOTE Mux-28 of block A* L66819 111111111111111111111011111* NOTE Mux-29 of block H* L66846 111111111111111111111111101* NOTE Mux-28 of block H* L66873 111111111111111111111011111* NOTE Mux-29 of block A* L66900 111111111111111111110111111* NOTE Mux-30 of block A* L66927 111111111111111111111011111* NOTE Mux-31 of block H* L66954 111011111111111111111111111* NOTE Mux-30 of block H* L66981 111011111111111111111111111* NOTE Mux-31 of block A* L67008 111111111111111111111110111* NOTE Mux-32 of block A* L67035 111111111111111101111111111* NOTE Mux-33 of block H* L67062 111111111111111011111111111* NOTE Mux-32 of block H* L67089 111111111111111101111111111* NOTE Mux-33 of block A* L67116 111111111111111111111111111* NOTE Mux-34 of block A* L67143 111111111111111101111111111* NOTE Mux-35 of block H* L67170 111111111111111111111111111* NOTE Mux-34 of block H* L67197 111011111111111111111111111* NOTE Mux-35 of block A* L67224 111111111111110111111111111* NOTE Mux-36 of block A* L67251 111111111111110111111111111* NOTE Mux-37 of block H* L67278 111111111111110111111111111* NOTE Mux-36 of block H* L67305 111111111111110111111111111* NOTE Mux-37 of block A* L67332 111111111111111111111111011* NOTE Mux-38 of block A* L67359 111111111111111111111111011* NOTE Mux-39 of block H* L67386 111111111111111111111111011* NOTE Mux-38 of block H* L67413 111111111111111111111111011* NOTE Mux-39 of block A* NOTE UIM for block B and G* NOTE 0 0 0* L67440 111111111111111111111111111* NOTE Mux-0 of block B* L67467 111111111011111111111111111* NOTE Mux-1 of block G* L67494 111111111111111111111111101* NOTE Mux-0 of block G* L67521 111111111011111111111111111* NOTE Mux-1 of block B* L67548 111111111111011111111111111* NOTE Mux-2 of block B* L67575 111111111111101111111111111* NOTE Mux-3 of block G* L67602 111111111111111111111111111* NOTE Mux-2 of block G* L67629 111111111111111111110111111* NOTE Mux-3 of block B* L67656 111111111111111111111111101* NOTE Mux-4 of block B* L67683 111111111111111111111111101* NOTE Mux-5 of block G* L67710 011111111111111111111111111* NOTE Mux-4 of block G* L67737 111111111111111111111111101* NOTE Mux-5 of block B* L67764 111110111111111111111111111* NOTE Mux-6 of block B* L67791 111111111111111111111110111* NOTE Mux-7 of block G* L67818 111111111111111111111111111* NOTE Mux-6 of block G* L67845 111111110111111111111111111* NOTE Mux-7 of block B* L67872 111111111111011111111111111* NOTE Mux-8 of block B* L67899 111111111110111111111111111* NOTE Mux-9 of block G* L67926 111111111111011111111111111* NOTE Mux-8 of block G* L67953 111111111111111101111111111* NOTE Mux-9 of block B* L67980 111111111111111111111111110* NOTE Mux-10 of block B* L68007 110111111111111111111111111* NOTE Mux-11 of block G* L68034 111101111111111111111111111* NOTE Mux-10 of block G* L68061 111111101111111111111111111* NOTE Mux-11 of block B* L68088 111111111111110111111111111* NOTE Mux-12 of block B* L68115 111111111110111111111111111* NOTE Mux-13 of block G* L68142 111111111111110111111111111* NOTE Mux-12 of block G* L68169 111111111111111111011111111* NOTE Mux-13 of block B* L68196 111111111111111111111111101* NOTE Mux-14 of block B* L68223 111111111111111111111111110* NOTE Mux-15 of block G* L68250 111111111111111111111111110* NOTE Mux-14 of block G* L68277 111111111111111110111111111* NOTE Mux-15 of block B* L68304 011111111111111111111111111* NOTE Mux-16 of block B* L68331 111111111101111111111111111* NOTE Mux-17 of block G* L68358 011111111111111111111111111* NOTE Mux-16 of block G* L68385 111111111111111101111111111* NOTE Mux-17 of block B* L68412 111111111111110111111111111* NOTE Mux-18 of block B* L68439 111111111111111111111111101* NOTE Mux-19 of block G* L68466 111111111111111111110111111* NOTE Mux-18 of block G* L68493 111111011111111111111111111* NOTE Mux-19 of block B* L68520 111111111111111111111111110* NOTE Mux-20 of block B* L68547 111111111111111111111111111* NOTE Mux-21 of block G* L68574 111111111111111111011111111* NOTE Mux-20 of block G* L68601 111111111111111101111111111* NOTE Mux-21 of block B* L68628 111111111111111111111101111* NOTE Mux-22 of block B* L68655 111111111111111111111101111* NOTE Mux-23 of block G* L68682 111111111111111111111101111* NOTE Mux-22 of block G* L68709 111110111111111111111111111* NOTE Mux-23 of block B* L68736 111111111101111111111111111* NOTE Mux-24 of block B* L68763 111111111111111111111110111* NOTE Mux-25 of block G* L68790 111111111111111110111111111* NOTE Mux-24 of block G* L68817 111110111111111111111111111* NOTE Mux-25 of block B* L68844 111111111111111111111111110* NOTE Mux-26 of block B* L68871 111110111111111111111111111* NOTE Mux-27 of block G* L68898 111110111111111111111111111* NOTE Mux-26 of block G* L68925 111111111111111111111011111* NOTE Mux-27 of block B* L68952 111111111111111111111111101* NOTE Mux-28 of block B* L68979 111111111111110111111111111* NOTE Mux-29 of block G* L69006 111111111111111111111111111* NOTE Mux-28 of block G* L69033 111111111111111110111111111* NOTE Mux-29 of block B* L69060 110111111111111111111111111* NOTE Mux-30 of block B* L69087 111111111111111110111111111* NOTE Mux-31 of block G* L69114 111110111111111111111111111* NOTE Mux-30 of block G* L69141 111111111111111111111111110* NOTE Mux-31 of block B* L69168 111111111111111101111111111* NOTE Mux-32 of block B* L69195 111111111111111111011111111* NOTE Mux-33 of block G* L69222 111111011111111111111111111* NOTE Mux-32 of block G* L69249 111111011111111111111111111* NOTE Mux-33 of block B* L69276 111111111111101111111111111* NOTE Mux-34 of block B* L69303 111111111111111111111111101* NOTE Mux-35 of block G* L69330 111111111111101111111111111* NOTE Mux-34 of block G* L69357 111111110111111111111111111* NOTE Mux-35 of block B* L69384 111111111111110111111111111* NOTE Mux-36 of block B* L69411 111111111111110111111111111* NOTE Mux-37 of block G* L69438 111111111111110111111111111* NOTE Mux-36 of block G* L69465 111111111111110111111111111* NOTE Mux-37 of block B* L69492 111111111111111111111111011* NOTE Mux-38 of block B* L69519 111111111111111111111111011* NOTE Mux-39 of block G* L69546 111111111111111111111111011* NOTE Mux-38 of block G* L69573 111111111111111111111111011* NOTE Mux-39 of block B* NOTE UIM for block C and F* NOTE 0 0 0* L69600 111111111111011111111111111* NOTE Mux-0 of block C* L69627 111111111111111111111111111* NOTE Mux-1 of block F* L69654 111111111111011111111111111* NOTE Mux-0 of block F* L69681 111111111111111111111111111* NOTE Mux-1 of block C* L69708 111111111111111111111111111* NOTE Mux-2 of block C* L69735 111111111111011111111111111* NOTE Mux-3 of block F* L69762 111111111111111111111111111* NOTE Mux-2 of block F* L69789 111011111111111111111111111* NOTE Mux-3 of block C* L69816 111111111111111111111011111* NOTE Mux-4 of block C* L69843 111111111111111111111111111* NOTE Mux-5 of block F* L69870 111111111111111111111111110* NOTE Mux-4 of block F* L69897 011111111111111111111111111* NOTE Mux-5 of block C* L69924 111111111111011111111111111* NOTE Mux-6 of block C* L69951 111111111111111111111111111* NOTE Mux-7 of block F* L69978 111111111111111111111111111* NOTE Mux-6 of block F* L70005 111111110111111111111111111* NOTE Mux-7 of block C* L70032 111111111011111111111111111* NOTE Mux-8 of block C* L70059 111111111111101111111111111* NOTE Mux-9 of block F* L70086 111111111111111111111111111* NOTE Mux-8 of block F* L70113 111111111111111111111111110* NOTE Mux-9 of block C* L70140 111111111101111111111111111* NOTE Mux-10 of block C* L70167 111111111111111111111111110* NOTE Mux-11 of block F* L70194 111111111111111111111111111* NOTE Mux-10 of block F* L70221 111111111111111110111111111* NOTE Mux-11 of block C* L70248 111111011111111111111111111* NOTE Mux-12 of block C* L70275 111111111111111111111111111* NOTE Mux-13 of block F* L70302 111111111111111111111111110* NOTE Mux-12 of block F* L70329 111110111111111111111111111* NOTE Mux-13 of block C* L70356 111111111101111111111111111* NOTE Mux-14 of block C* L70383 111111011111111111111111111* NOTE Mux-15 of block F* L70410 111111111111111111110111111* NOTE Mux-14 of block F* L70437 111111011111111111111111111* NOTE Mux-15 of block C* L70464 110111111111111111111111111* NOTE Mux-16 of block C* L70491 111111011111111111111111111* NOTE Mux-17 of block F* L70518 111111110111111111111111111* NOTE Mux-16 of block F* L70545 111101111111111111111111111* NOTE Mux-17 of block C* L70572 111111111110111111111111111* NOTE Mux-18 of block C* L70599 111111111111111111111110111* NOTE Mux-19 of block F* L70626 111111111111111111011111111* NOTE Mux-18 of block F* L70653 111111111111111111111111110* NOTE Mux-19 of block C* L70680 111111111111111111111111110* NOTE Mux-20 of block C* L70707 111111111111111111111111111* NOTE Mux-21 of block F* L70734 111111111111111011111111111* NOTE Mux-20 of block F* L70761 111111111111111111111111111* NOTE Mux-21 of block C* L70788 111111111111111111111111110* NOTE Mux-22 of block C* L70815 111111111111111111111111011* NOTE Mux-23 of block F* L70842 111111111111111111111101111* NOTE Mux-22 of block F* L70869 111111111111111111111111110* NOTE Mux-23 of block C* L70896 110111111111111111111111111* NOTE Mux-24 of block C* L70923 111111111111111111111111111* NOTE Mux-25 of block F* L70950 111111111111111111111111111* NOTE Mux-24 of block F* L70977 111111111111111111101111111* NOTE Mux-25 of block C* L71004 111111111111111111110111111* NOTE Mux-26 of block C* L71031 111111111111111110111111111* NOTE Mux-27 of block F* L71058 111111111111111111110111111* NOTE Mux-26 of block F* L71085 111111101111111111111111111* NOTE Mux-27 of block C* L71112 111111111111111111111111111* NOTE Mux-28 of block C* L71139 111111111111111111111111111* NOTE Mux-29 of block F* L71166 111111110111111111111111111* NOTE Mux-28 of block F* L71193 111111111111111111111111110* NOTE Mux-29 of block C* L71220 111111111111111111110111111* NOTE Mux-30 of block C* L71247 111111111011111111111111111* NOTE Mux-31 of block F* L71274 111111111111111111110111111* NOTE Mux-30 of block F* L71301 111111111111111111111111101* NOTE Mux-31 of block C* L71328 111111111111111101111111111* NOTE Mux-32 of block C* L71355 111111111111111111111111101* NOTE Mux-33 of block F* L71382 111111101111111111111111111* NOTE Mux-32 of block F* L71409 111111101111111111111111111* NOTE Mux-33 of block C* L71436 111111111111111111111011111* NOTE Mux-34 of block C* L71463 111111111110111111111111111* NOTE Mux-35 of block F* L71490 111110111111111111111111111* NOTE Mux-34 of block F* L71517 111111111110111111111111111* NOTE Mux-35 of block C* L71544 111111111111110111111111111* NOTE Mux-36 of block C* L71571 111111111111110111111111111* NOTE Mux-37 of block F* L71598 111111111111110111111111111* NOTE Mux-36 of block F* L71625 111111111111110111111111111* NOTE Mux-37 of block C* L71652 111111111111111111111111011* NOTE Mux-38 of block C* L71679 111111111111111111111111011* NOTE Mux-39 of block F* L71706 111111111111111111111111011* NOTE Mux-38 of block F* L71733 111111111111111111111111011* NOTE Mux-39 of block C* NOTE UIM for block D and E* NOTE 0 0 0* L71760 111111111011111111111111111* NOTE Mux-0 of block D* L71787 011111111111111111111111111* NOTE Mux-1 of block E* L71814 111111111111111111111111111* NOTE Mux-0 of block E* L71841 111111111111111111111111110* NOTE Mux-1 of block D* L71868 111111111111011111111111111* NOTE Mux-2 of block D* L71895 111111111111111111110111111* NOTE Mux-3 of block E* L71922 111111111111111111111111111* NOTE Mux-2 of block E* L71949 111111111111111111110111111* NOTE Mux-3 of block D* L71976 111111111111111101111111111* NOTE Mux-4 of block D* L72003 111111111110111111111111111* NOTE Mux-5 of block E* L72030 111111111111111111111111110* NOTE Mux-4 of block E* L72057 111111111111111111111111101* NOTE Mux-5 of block D* L72084 111110111111111111111111111* NOTE Mux-6 of block D* L72111 111111111111111111111111111* NOTE Mux-7 of block E* L72138 111110111111111111111111111* NOTE Mux-6 of block E* L72165 111011111111111111111111111* NOTE Mux-7 of block D* L72192 111111111111111111111110111* NOTE Mux-8 of block D* L72219 111011111111111111111111111* NOTE Mux-9 of block E* L72246 111111111111011111111111111* NOTE Mux-8 of block E* L72273 111111111111111111111011111* NOTE Mux-9 of block D* L72300 111111111111111011111111111* NOTE Mux-10 of block D* L72327 111011111111111111111111111* NOTE Mux-11 of block E* L72354 111110111111111111111111111* NOTE Mux-10 of block E* L72381 111111111111111111111110111* NOTE Mux-11 of block D* L72408 111111111111110111111111111* NOTE Mux-12 of block D* L72435 111111111111111111111111110* NOTE Mux-13 of block E* L72462 111111111111110111111111111* NOTE Mux-12 of block E* L72489 111111111111111110111111111* NOTE Mux-13 of block D* L72516 111111111111111111111111110* NOTE Mux-14 of block D* L72543 111101111111111111111111111* NOTE Mux-15 of block E* L72570 111111111111111110111111111* NOTE Mux-14 of block E* L72597 110111111111111111111111111* NOTE Mux-15 of block D* L72624 111111111111111101111111111* NOTE Mux-16 of block D* L72651 111111111111111111111111111* NOTE Mux-17 of block E* L72678 111101111111111111111111111* NOTE Mux-16 of block E* L72705 101111111111111111111111111* NOTE Mux-17 of block D* L72732 111111111111111111110111111* NOTE Mux-18 of block D* L72759 111111111111111111111111111* NOTE Mux-19 of block E* L72786 111111111111111111110111111* NOTE Mux-18 of block E* L72813 111111111111111111111111101* NOTE Mux-19 of block D* L72840 111111111111111111111111110* NOTE Mux-20 of block D* L72867 111111111011111111111111111* NOTE Mux-21 of block E* L72894 111111111111111111011111111* NOTE Mux-20 of block E* L72921 111111111111111101111111111* NOTE Mux-21 of block D* L72948 111111111111111111111111110* NOTE Mux-22 of block D* L72975 111111111111111111111101111* NOTE Mux-23 of block E* L73002 111111111111111111111101111* NOTE Mux-22 of block E* L73029 111111111111111111111101111* NOTE Mux-23 of block D* L73056 111110111111111111111111111* NOTE Mux-24 of block D* L73083 111111111111111111111110111* NOTE Mux-25 of block E* L73110 111110111111111111111111111* NOTE Mux-24 of block E* L73137 111111111111111111111111110* NOTE Mux-25 of block D* L73164 111110111111111111111111111* NOTE Mux-26 of block D* L73191 111111111111111101111111111* NOTE Mux-27 of block E* L73218 111111111111111111011111111* NOTE Mux-26 of block E* L73245 111111111111111101111111111* NOTE Mux-27 of block D* L73272 111111111111111111111111101* NOTE Mux-28 of block D* L73299 111111111110111111111111111* NOTE Mux-29 of block E* L73326 111111111111111111111111101* NOTE Mux-28 of block E* L73353 101111111111111111111111111* NOTE Mux-29 of block D* L73380 111111111111111111111110111* NOTE Mux-30 of block D* L73407 111111111111111111111101111* NOTE Mux-31 of block E* L73434 011111111111111111111111111* NOTE Mux-30 of block E* L73461 111111111111111111111111110* NOTE Mux-31 of block D* L73488 111111111111111011111111111* NOTE Mux-32 of block D* L73515 111111111111111111111111011* NOTE Mux-33 of block E* L73542 111111111011111111111111111* NOTE Mux-32 of block E* L73569 111111111011111111111111111* NOTE Mux-33 of block D* L73596 111111111111101111111111111* NOTE Mux-34 of block D* L73623 111011111111111111111111111* NOTE Mux-35 of block E* L73650 111111111111111111110111111* NOTE Mux-34 of block E* L73677 111111110111111111111111111* NOTE Mux-35 of block D* L73704 111111111111110111111111111* NOTE Mux-36 of block D* L73731 111111111111110111111111111* NOTE Mux-37 of block E* L73758 111111111111110111111111111* NOTE Mux-36 of block E* L73785 111111111111110111111111111* NOTE Mux-37 of block D* L73812 111111111111111111111111011* NOTE Mux-38 of block D* L73839 111111111111111111111111011* NOTE Mux-39 of block E* L73866 111111111111111111111111011* NOTE Mux-38 of block E* L73893 111111111111111111111111011* NOTE Mux-39 of block D* NOTE 6 global OE 0 0 0* L73920 111110111111111111111111111 110111111111111111111111111 101111111111111111111111111 111111111111111110111111111 110111111111111111111111111 111011111111111111111111111 * NOTE device configuration bits* NOTE 0 0 0 0* L74082 01110000000011010111000111111111* NOTE Special Purpose Bits (JTAG) * L74114 1111* NOTE UES bits* L74118 1111111111111111* NOTE Reserved bits * L74134 00* C7531* 0000