TimeQuest Timing Analyzer report for M8650 Thu Jun 29 18:08:06 2023 Quartus II 64-Bit Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition --------------------- ; Table of Contents ; --------------------- 1. Legal Notice 2. TimeQuest Timing Analyzer Summary 3. Parallel Compilation 4. SDC File List 5. Clocks 6. Fmax Summary 7. Setup Summary 8. Hold Summary 9. Recovery Summary 10. Removal Summary 11. Minimum Pulse Width Summary 12. Setup: 'bd9600' 13. Setup: 'bd600' 14. Setup: 'bd1200' 15. Setup: 'bd230400' 16. Setup: 'bd218' 17. Setup: 'bd19200' 18. Setup: 'bd2400' 19. Setup: 'bd436' 20. Setup: 'bd4800' 21. Setup: 'bd873' 22. Hold: 'bd230400' 23. Hold: 'bd1200' 24. Hold: 'bd600' 25. Hold: 'bd9600' 26. Hold: 'bd19200' 27. Hold: 'bd2400' 28. Hold: 'bd436' 29. Hold: 'bd4800' 30. Hold: 'bd873' 31. Hold: 'bd218' 32. Minimum Pulse Width: 'bd230400' 33. Minimum Pulse Width: 'bd1200' 34. Minimum Pulse Width: 'bd600' 35. Minimum Pulse Width: 'bd9600' 36. Minimum Pulse Width: 'bd19200' 37. Minimum Pulse Width: 'bd218' 38. Minimum Pulse Width: 'bd2400' 39. Minimum Pulse Width: 'bd436' 40. Minimum Pulse Width: 'bd4800' 41. Minimum Pulse Width: 'bd873' 42. Setup Times 43. Hold Times 44. Clock to Output Times 45. Minimum Clock to Output Times 46. Propagation Delay 47. Minimum Propagation Delay 48. Setup Transfers 49. Hold Transfers 50. Report TCCS 51. Report RSKM 52. Unconstrained Paths 53. TimeQuest Timing Analyzer Messages ---------------- ; Legal Notice ; ---------------- Copyright (C) 1991-2013 Altera Corporation Your use of Altera Corporation's design tools, logic functions and other software and tools, and its AMPP partner logic functions, and any output files from any of the foregoing (including device programming or simulation files), and any associated documentation or information are expressly subject to the terms and conditions of the Altera Program License Subscription Agreement, Altera MegaCore Function License Agreement, or other applicable license agreement, including, without limitation, that your use is for the sole purpose of programming logic devices manufactured by Altera and sold by Altera or its authorized distributors. Please refer to the applicable agreement for further details. +----------------------------------------------------------------------------------------+ ; TimeQuest Timing Analyzer Summary ; +--------------------+-------------------------------------------------------------------+ ; Quartus II Version ; Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition ; ; Revision Name ; M8650 ; ; Device Family ; MAX7000S ; ; Device Name ; EPM7128SLC84-15 ; ; Timing Models ; Final ; ; Delay Model ; Slow Model ; ; Rise/Fall Delays ; Unavailable ; +--------------------+-------------------------------------------------------------------+ Parallel compilation was disabled, but you have multiple processors available. Enable parallel compilation to reduce compilation time. +-------------------------------------+ ; Parallel Compilation ; +----------------------------+--------+ ; Processors ; Number ; +----------------------------+--------+ ; Number detected on machine ; 2 ; ; Maximum allowed ; 1 ; +----------------------------+--------+ +---------------------------------------------------+ ; SDC File List ; +---------------+--------+--------------------------+ ; SDC File Path ; Status ; Read at ; +---------------+--------+--------------------------+ ; M8650.sdc ; OK ; Thu Jun 29 18:08:04 2023 ; +---------------+--------+--------------------------+ +---------------------------------------------------------------------------------------------------------------------------------------------------------------------------------------+ ; Clocks ; +------------+------+---------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+ ; Clock Name ; Type ; Period ; Frequency ; Rise ; Fall ; Duty Cycle ; Divide by ; Multiply by ; Phase ; Offset ; Edge List ; Edge Shift ; Inverted ; Master ; Source ; Targets ; +------------+------+---------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+ ; bd218 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd218 } ; ; bd436 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd436 } ; ; bd600 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd600 } ; ; bd873 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd873 } ; ; bd1200 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd1200 } ; ; bd2400 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd2400 } ; ; bd4800 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd4800 } ; ; bd9600 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd9600 } ; ; bd19200 ; Base ; 1.000 ; 1000.0 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd19200 } ; ; bd230400 ; Base ; 271.267 ; 3.69 MHz ; 0.000 ; 0.500 ; ; ; ; ; ; ; ; ; ; ; { bd230400 } ; +------------+------+---------+------------+-------+-------+------------+-----------+-------------+-------+--------+-----------+------------+----------+--------+--------+--------------+ +-------------------------------------------------+ ; Fmax Summary ; +-----------+-----------------+------------+------+ ; Fmax ; Restricted Fmax ; Clock Name ; Note ; +-----------+-----------------+------------+------+ ; 0.01 MHz ; 0.01 MHz ; bd230400 ; ; ; 2.22 MHz ; 2.22 MHz ; bd1200 ; ; ; 2.22 MHz ; 2.22 MHz ; bd600 ; ; ; 2.22 MHz ; 2.22 MHz ; bd9600 ; ; ; 76.92 MHz ; 76.92 MHz ; bd218 ; ; +-----------+-----------------+------------+------+ This panel reports FMAX for every clock in the design, regardless of the user-specified clock periods. FMAX is only computed for paths where the source and destination registers or ports are driven by the same clock. Paths of different clocks, including generated clocks, are ignored. For paths between a clock and its inversion, FMAX is computed as if the rising and falling edges are scaled along with FMAX, such that the duty cycle (in terms of a percentage) is maintained. Altera recommends that you always use clock constraints and other slack reports for sign-off analysis. +-------------------------------------+ ; Setup Summary ; +----------+----------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------+----------+---------------+ ; bd9600 ; -297.000 ; -2649.000 ; ; bd600 ; -296.000 ; -2648.500 ; ; bd1200 ; -296.000 ; -2640.000 ; ; bd230400 ; -278.500 ; -2469.500 ; ; bd218 ; -12.000 ; -12.000 ; ; bd19200 ; -3.500 ; -3.500 ; ; bd2400 ; -3.500 ; -3.500 ; ; bd436 ; -3.500 ; -3.500 ; ; bd4800 ; -3.500 ; -3.500 ; ; bd873 ; -3.500 ; -3.500 ; +----------+----------+---------------+ +-------------------------------------+ ; Hold Summary ; +----------+----------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------+----------+---------------+ ; bd230400 ; -173.000 ; -1511.000 ; ; bd1200 ; -110.000 ; -884.000 ; ; bd600 ; -110.000 ; -880.000 ; ; bd9600 ; -109.000 ; -876.000 ; ; bd19200 ; -84.000 ; -88.000 ; ; bd2400 ; -4.000 ; -4.000 ; ; bd436 ; -4.000 ; -4.000 ; ; bd4800 ; -4.000 ; -4.000 ; ; bd873 ; -4.000 ; -4.000 ; ; bd218 ; 5.000 ; 0.000 ; +----------+----------+---------------+ -------------------- ; Recovery Summary ; -------------------- No paths to report. ------------------- ; Removal Summary ; ------------------- No paths to report. +-------------------------------------+ ; Minimum Pulse Width Summary ; +----------+----------+---------------+ ; Clock ; Slack ; End Point TNS ; +----------+----------+---------------+ ; bd230400 ; -173.500 ; -10403.000 ; ; bd1200 ; -111.500 ; -7664.000 ; ; bd600 ; -111.500 ; -7664.000 ; ; bd9600 ; -111.500 ; -7664.000 ; ; bd19200 ; -59.500 ; -344.000 ; ; bd218 ; -5.500 ; -11.000 ; ; bd2400 ; -5.500 ; -11.000 ; ; bd436 ; -5.500 ; -11.000 ; ; bd4800 ; -5.500 ; -11.000 ; ; bd873 ; -5.500 ; -11.000 ; +----------+----------+---------------+ +------------------------------------------------------------------------------------------------------+ ; Setup: 'bd9600' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -297.000 ; n_t_40x ; rx7 ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -297.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 124.000 ; ; -288.500 ; n_t_40x ; rx7 ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -288.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd9600 ; 0.500 ; -161.000 ; 124.000 ; ; -280.500 ; n_t_40x ; rx7 ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -280.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd9600 ; 0.500 ; -153.000 ; 124.000 ; ; -271.000 ; n_t_40x ; rx7 ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -271.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd9600 ; 1.000 ; -144.000 ; 124.000 ; ; -269.500 ; n_t_40x ; rx_div ; bd230400 ; bd9600 ; 0.500 ; -187.000 ; 79.000 ; ; -252.000 ; n_t_40x ; rx_div ; bd230400 ; bd9600 ; 1.000 ; -170.000 ; 79.000 ; ; -234.000 ; n_t_40x ; rx7 ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_34x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_36x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_35x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_37x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_38x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_39x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_40x ; bd1200 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; rx7 ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_34x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_36x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_35x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_37x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_38x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_39x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -234.000 ; n_t_40x ; n_t_40x ; bd600 ; bd9600 ; 1.000 ; -107.000 ; 124.000 ; ; -233.000 ; n_t_40x ; rx7 ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_34x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_36x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_35x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_37x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_38x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_39x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_40x ; bd9600 ; bd9600 ; 1.000 ; -106.000 ; 124.000 ; ; -225.500 ; n_t_40x ; rx7 ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; rx7 ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_34x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_36x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_35x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_37x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_38x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_39x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -225.500 ; n_t_40x ; n_t_40x ; bd600 ; bd9600 ; 0.500 ; -98.000 ; 124.000 ; ; -224.500 ; n_t_40x ; rx7 ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_36x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_35x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_37x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_38x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_39x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_40x ; bd9600 ; bd9600 ; 0.500 ; -97.000 ; 124.000 ; ; -217.500 ; n_t_40x ; rx7 ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; rx7 ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_34x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_36x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_35x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_37x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_38x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_39x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -217.500 ; n_t_40x ; n_t_40x ; bd600 ; bd9600 ; 0.500 ; -90.000 ; 124.000 ; ; -216.500 ; n_t_40x ; rx7 ; bd9600 ; bd9600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd9600 ; 0.500 ; -89.000 ; 124.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Setup: 'bd600' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -296.000 ; n_t_40x ; rx7 ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 124.000 ; ; -287.500 ; n_t_40x ; rx7 ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd600 ; 0.500 ; -160.000 ; 124.000 ; ; -279.500 ; n_t_40x ; rx7 ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd600 ; 0.500 ; -152.000 ; 124.000 ; ; -270.000 ; n_t_40x ; rx7 ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd600 ; 1.000 ; -143.000 ; 124.000 ; ; -268.500 ; n_t_40x ; rx_div ; bd230400 ; bd600 ; 0.500 ; -186.000 ; 79.000 ; ; -251.000 ; n_t_40x ; rx_div ; bd230400 ; bd600 ; 1.000 ; -169.000 ; 79.000 ; ; -233.000 ; n_t_40x ; rx7 ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_34x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_36x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_35x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_37x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_38x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_39x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_40x ; bd1200 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; rx7 ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_34x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_36x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_35x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_37x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_38x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_39x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_40x ; bd600 ; bd600 ; 1.000 ; -106.000 ; 124.000 ; ; -232.000 ; n_t_40x ; rx7 ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_34x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_36x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_35x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_37x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_38x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_39x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_40x ; bd9600 ; bd600 ; 1.000 ; -105.000 ; 124.000 ; ; -224.500 ; n_t_40x ; rx7 ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; rx7 ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_34x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_36x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_35x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_37x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_38x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_39x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_40x ; bd600 ; bd600 ; 0.500 ; -97.000 ; 124.000 ; ; -223.500 ; n_t_40x ; rx7 ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_36x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_35x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_37x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_38x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_39x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_40x ; bd9600 ; bd600 ; 0.500 ; -96.000 ; 124.000 ; ; -216.500 ; n_t_40x ; rx7 ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; rx7 ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_34x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_36x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_35x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_37x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_38x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_39x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_40x ; bd600 ; bd600 ; 0.500 ; -89.000 ; 124.000 ; ; -215.500 ; n_t_40x ; rx7 ; bd9600 ; bd600 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd600 ; 0.500 ; -88.000 ; 124.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Setup: 'bd1200' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -296.000 ; n_t_40x ; rx7 ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -296.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 124.000 ; ; -287.500 ; n_t_40x ; rx7 ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -287.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd1200 ; 0.500 ; -160.000 ; 124.000 ; ; -279.500 ; n_t_40x ; rx7 ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -279.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd1200 ; 0.500 ; -152.000 ; 124.000 ; ; -270.000 ; n_t_40x ; rx7 ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_34x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_36x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_35x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_37x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_38x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_39x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -270.000 ; n_t_40x ; n_t_40x ; bd230400 ; bd1200 ; 1.000 ; -143.000 ; 124.000 ; ; -268.500 ; n_t_40x ; rx_div ; bd230400 ; bd1200 ; 0.500 ; -186.000 ; 79.000 ; ; -251.000 ; n_t_40x ; rx_div ; bd230400 ; bd1200 ; 1.000 ; -169.000 ; 79.000 ; ; -233.000 ; n_t_40x ; rx7 ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_34x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_36x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_35x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_37x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_38x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_39x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_40x ; bd1200 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; rx7 ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_34x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_36x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_35x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_37x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_38x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_39x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -233.000 ; n_t_40x ; n_t_40x ; bd600 ; bd1200 ; 1.000 ; -106.000 ; 124.000 ; ; -232.000 ; n_t_40x ; rx7 ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_34x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_36x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_35x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_37x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_38x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_39x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_40x ; bd9600 ; bd1200 ; 1.000 ; -105.000 ; 124.000 ; ; -224.500 ; n_t_40x ; rx7 ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; rx7 ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_34x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_36x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_35x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_37x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_38x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_39x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -224.500 ; n_t_40x ; n_t_40x ; bd600 ; bd1200 ; 0.500 ; -97.000 ; 124.000 ; ; -223.500 ; n_t_40x ; rx7 ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_36x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_35x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_37x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_38x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_39x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -223.500 ; n_t_40x ; n_t_40x ; bd9600 ; bd1200 ; 0.500 ; -96.000 ; 124.000 ; ; -216.500 ; n_t_40x ; rx7 ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; rx7 ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_34x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_36x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_35x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_37x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_38x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_39x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -216.500 ; n_t_40x ; n_t_40x ; bd600 ; bd1200 ; 0.500 ; -89.000 ; 124.000 ; ; -215.500 ; n_t_40x ; rx7 ; bd9600 ; bd1200 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd1200 ; 0.500 ; -88.000 ; 124.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Setup: 'bd230400' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -278.500 ; n_t_40x ; rx7 ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_34x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_36x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_35x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_37x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_38x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_39x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -278.500 ; n_t_40x ; n_t_40x ; bd230400 ; bd230400 ; 0.500 ; -151.000 ; 124.000 ; ; -241.500 ; n_t_40x ; rx_div ; bd230400 ; bd230400 ; 0.500 ; -159.000 ; 79.000 ; ; -232.000 ; n_t_40x ; rx7 ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_34x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_36x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_35x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_37x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_38x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_39x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_40x ; bd1200 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; rx7 ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_34x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_36x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_35x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_37x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_38x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_39x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -232.000 ; n_t_40x ; n_t_40x ; bd600 ; bd230400 ; 1.000 ; -105.000 ; 124.000 ; ; -231.000 ; n_t_40x ; rx7 ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_34x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_36x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_35x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_37x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_38x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_39x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -231.000 ; n_t_40x ; n_t_40x ; bd9600 ; bd230400 ; 1.000 ; -104.000 ; 124.000 ; ; -223.233 ; n_t_40x ; rx7 ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_34x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_36x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_35x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_37x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_38x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_39x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_40x ; bd1200 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; rx7 ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_34x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_36x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_35x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_37x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_38x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_39x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -223.233 ; n_t_40x ; n_t_40x ; bd600 ; bd230400 ; 0.767 ; -96.000 ; 124.000 ; ; -222.233 ; n_t_40x ; rx7 ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_34x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_36x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_35x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_37x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_38x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_39x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -222.233 ; n_t_40x ; n_t_40x ; bd9600 ; bd230400 ; 0.767 ; -95.000 ; 124.000 ; ; -215.500 ; n_t_40x ; rx7 ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_34x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_36x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_35x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_37x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_38x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_39x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_40x ; bd1200 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; rx7 ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_34x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_36x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_35x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_37x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_38x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_39x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -215.500 ; n_t_40x ; n_t_40x ; bd600 ; bd230400 ; 0.500 ; -88.000 ; 124.000 ; ; -214.500 ; n_t_40x ; rx7 ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_34x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_36x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_35x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_37x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_38x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_39x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -214.500 ; n_t_40x ; n_t_40x ; bd9600 ; bd230400 ; 0.500 ; -87.000 ; 124.000 ; ; -206.000 ; n_t_40x ; rx7 ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_34x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_36x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_35x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_37x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_38x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_39x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_40x ; bd1200 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; rx7 ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_34x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_36x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_35x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_37x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_38x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_39x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -206.000 ; n_t_40x ; n_t_40x ; bd600 ; bd230400 ; 1.000 ; -79.000 ; 124.000 ; ; -205.000 ; n_t_40x ; rx7 ; bd9600 ; bd230400 ; 1.000 ; -78.000 ; 124.000 ; ; -205.000 ; n_t_40x ; n_t_34x ; bd9600 ; bd230400 ; 1.000 ; -78.000 ; 124.000 ; ; -205.000 ; n_t_40x ; n_t_36x ; bd9600 ; bd230400 ; 1.000 ; -78.000 ; 124.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------+ ; Setup: 'bd218' ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -12.000 ; bd109 ; bd109 ; bd218 ; bd218 ; 1.000 ; 0.000 ; 9.000 ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Setup: 'bd19200' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -3.500 ; bd9600 ; bd9600 ; bd9600 ; bd19200 ; 0.500 ; 8.000 ; 9.000 ; ; -3.000 ; bd9600 ; bd9600 ; bd9600 ; bd19200 ; 1.000 ; 8.000 ; 9.000 ; ; 22.500 ; bd873 ; bd873 ; bd873 ; bd19200 ; 0.500 ; 34.000 ; 9.000 ; ; 23.000 ; bd873 ; bd873 ; bd873 ; bd19200 ; 1.000 ; 34.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Setup: 'bd2400' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -3.500 ; bd1200 ; bd1200 ; bd1200 ; bd2400 ; 0.500 ; 8.000 ; 9.000 ; ; -3.000 ; bd1200 ; bd1200 ; bd1200 ; bd2400 ; 1.000 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Setup: 'bd436' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -3.500 ; bd218 ; bd218 ; bd218 ; bd436 ; 0.500 ; 8.000 ; 9.000 ; ; -3.000 ; bd218 ; bd218 ; bd218 ; bd436 ; 1.000 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Setup: 'bd4800' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -3.500 ; bd2400 ; bd2400 ; bd2400 ; bd4800 ; 0.500 ; 8.000 ; 9.000 ; ; -3.000 ; bd2400 ; bd2400 ; bd2400 ; bd4800 ; 1.000 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Setup: 'bd873' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -3.500 ; bd436 ; bd436 ; bd436 ; bd873 ; 0.500 ; 8.000 ; 9.000 ; ; -3.000 ; bd436 ; bd436 ; bd436 ; bd873 ; 1.000 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Hold: 'bd230400' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -173.000 ; bd9600 ; rx7 ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_34x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_36x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_35x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_37x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_38x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_39x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -173.000 ; bd9600 ; n_t_40x ; bd9600 ; bd230400 ; 0.000 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; rx7 ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_34x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_36x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_35x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_37x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_38x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_39x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.500 ; bd9600 ; n_t_40x ; bd9600 ; bd230400 ; -0.500 ; 204.000 ; 36.000 ; ; -172.000 ; bd1200 ; rx7 ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_34x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_36x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_35x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_37x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_38x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_39x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd1200 ; n_t_40x ; bd1200 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; rx7 ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_34x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_36x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_35x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_37x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_38x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_39x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -172.000 ; bd600 ; n_t_40x ; bd600 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; rx7 ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_34x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_36x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_35x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_37x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_38x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_39x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd1200 ; n_t_40x ; bd1200 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; rx7 ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_34x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_36x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_35x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_37x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_38x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_39x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.500 ; bd600 ; n_t_40x ; bd600 ; bd230400 ; -0.500 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; rx7 ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_34x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_36x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_35x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_37x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_38x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_39x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -171.000 ; bd230400 ; n_t_40x ; bd230400 ; bd230400 ; 0.000 ; 204.000 ; 37.000 ; ; -165.000 ; rx7 ; n_t_34x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_34x ; n_t_36x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_36x ; n_t_35x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_35x ; n_t_37x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_37x ; n_t_38x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_38x ; n_t_39x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -165.000 ; n_t_39x ; n_t_40x ; bd9600 ; bd230400 ; 0.000 ; 170.000 ; 9.000 ; ; -164.000 ; rx7 ; n_t_34x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_34x ; n_t_36x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_36x ; n_t_35x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_35x ; n_t_37x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_37x ; n_t_38x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_38x ; n_t_39x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_39x ; n_t_40x ; bd1200 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; rx7 ; n_t_34x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_34x ; n_t_36x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_36x ; n_t_35x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_35x ; n_t_37x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_37x ; n_t_38x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_38x ; n_t_39x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; n_t_39x ; n_t_40x ; bd600 ; bd230400 ; 0.000 ; 169.000 ; 9.000 ; ; -164.000 ; bd109 ; rx7 ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_34x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_36x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_35x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_37x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_38x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_39x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -164.000 ; bd109 ; n_t_40x ; bd218 ; bd230400 ; 0.000 ; 196.000 ; 36.000 ; ; -163.000 ; rx7 ; n_t_34x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_34x ; n_t_36x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_36x ; n_t_35x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_35x ; n_t_37x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_37x ; n_t_38x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_38x ; n_t_39x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; n_t_39x ; n_t_40x ; bd230400 ; bd230400 ; 0.000 ; 168.000 ; 9.000 ; ; -163.000 ; bd300 ; rx7 ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_34x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_36x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_35x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_37x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_38x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_39x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; ; -163.000 ; bd300 ; n_t_40x ; bd600 ; bd230400 ; 0.000 ; 196.000 ; 37.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Hold: 'bd1200' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -110.000 ; bd9600 ; rx7 ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_34x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_36x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_35x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_37x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_38x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_39x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_40x ; bd9600 ; bd1200 ; 0.000 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; rx7 ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_34x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_36x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_35x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_37x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_38x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_39x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_40x ; bd9600 ; bd1200 ; -0.500 ; 141.000 ; 36.000 ; ; -109.000 ; bd1200 ; rx7 ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_34x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_36x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_35x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_37x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_38x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_39x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_40x ; bd1200 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; rx7 ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_34x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_36x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_35x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_37x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_38x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_39x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_40x ; bd600 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; rx7 ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_34x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_36x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_35x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_37x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_38x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_39x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_40x ; bd1200 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; rx7 ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_34x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_36x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_35x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_37x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_38x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_39x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_40x ; bd600 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; rx7 ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_34x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_36x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_35x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_37x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_38x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_39x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_40x ; bd230400 ; bd1200 ; 0.000 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; rx7 ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_34x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_36x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_35x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_37x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_38x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_39x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_40x ; bd230400 ; bd1200 ; -0.500 ; 141.000 ; 37.000 ; ; -102.000 ; rx7 ; n_t_34x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_34x ; n_t_36x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_36x ; n_t_35x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_35x ; n_t_37x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_37x ; n_t_38x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_38x ; n_t_39x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_39x ; n_t_40x ; bd9600 ; bd1200 ; 0.000 ; 107.000 ; 9.000 ; ; -101.000 ; rx7 ; n_t_34x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_34x ; n_t_36x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_36x ; n_t_35x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_35x ; n_t_37x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_37x ; n_t_38x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_38x ; n_t_39x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_39x ; n_t_40x ; bd1200 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; rx7 ; n_t_34x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_34x ; n_t_36x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_36x ; n_t_35x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_35x ; n_t_37x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_37x ; n_t_38x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_38x ; n_t_39x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_39x ; n_t_40x ; bd600 ; bd1200 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; bd109 ; rx7 ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_34x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_36x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_35x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_37x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_38x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_39x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_40x ; bd218 ; bd1200 ; 0.000 ; 133.000 ; 36.000 ; ; -100.000 ; rx7 ; n_t_34x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_34x ; n_t_36x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_36x ; n_t_35x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_35x ; n_t_37x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_37x ; n_t_38x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_38x ; n_t_39x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_39x ; n_t_40x ; bd230400 ; bd1200 ; 0.000 ; 105.000 ; 9.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Hold: 'bd600' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -110.000 ; bd9600 ; rx7 ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_34x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_36x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_35x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_37x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_38x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_39x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -110.000 ; bd9600 ; n_t_40x ; bd9600 ; bd600 ; 0.000 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; rx7 ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_34x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_36x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_35x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_37x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_38x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_39x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.500 ; bd9600 ; n_t_40x ; bd9600 ; bd600 ; -0.500 ; 141.000 ; 36.000 ; ; -109.000 ; bd1200 ; rx7 ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_34x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_36x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_35x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_37x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_38x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_39x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd1200 ; n_t_40x ; bd1200 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; rx7 ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_34x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_36x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_35x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_37x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_38x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_39x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -109.000 ; bd600 ; n_t_40x ; bd600 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; rx7 ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_34x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_36x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_35x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_37x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_38x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_39x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd1200 ; n_t_40x ; bd1200 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; rx7 ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_34x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_36x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_35x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_37x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_38x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_39x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.500 ; bd600 ; n_t_40x ; bd600 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; rx7 ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_34x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_36x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_35x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_37x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_38x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_39x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -108.000 ; bd230400 ; n_t_40x ; bd230400 ; bd600 ; 0.000 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; rx7 ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_34x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_36x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_35x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_37x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_38x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_39x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -107.500 ; bd230400 ; n_t_40x ; bd230400 ; bd600 ; -0.500 ; 141.000 ; 37.000 ; ; -102.000 ; rx7 ; n_t_34x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_34x ; n_t_36x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_36x ; n_t_35x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_35x ; n_t_37x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_37x ; n_t_38x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_38x ; n_t_39x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -102.000 ; n_t_39x ; n_t_40x ; bd9600 ; bd600 ; 0.000 ; 107.000 ; 9.000 ; ; -101.000 ; rx7 ; n_t_34x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_34x ; n_t_36x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_36x ; n_t_35x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_35x ; n_t_37x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_37x ; n_t_38x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_38x ; n_t_39x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_39x ; n_t_40x ; bd1200 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; rx7 ; n_t_34x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_34x ; n_t_36x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_36x ; n_t_35x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_35x ; n_t_37x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_37x ; n_t_38x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_38x ; n_t_39x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_39x ; n_t_40x ; bd600 ; bd600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; bd109 ; rx7 ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_34x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_36x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_35x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_37x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_38x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_39x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -101.000 ; bd109 ; n_t_40x ; bd218 ; bd600 ; 0.000 ; 133.000 ; 36.000 ; ; -100.000 ; rx7 ; n_t_34x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_34x ; n_t_36x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_36x ; n_t_35x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_35x ; n_t_37x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_37x ; n_t_38x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_38x ; n_t_39x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_39x ; n_t_40x ; bd230400 ; bd600 ; 0.000 ; 105.000 ; 9.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------+ ; Hold: 'bd9600' ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -109.000 ; bd9600 ; rx7 ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_34x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_36x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_35x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_37x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_38x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_39x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -109.000 ; bd9600 ; n_t_40x ; bd9600 ; bd9600 ; 0.000 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; rx7 ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_34x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_36x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_35x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_37x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_38x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_39x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.500 ; bd9600 ; n_t_40x ; bd9600 ; bd9600 ; -0.500 ; 140.000 ; 36.000 ; ; -108.000 ; bd1200 ; rx7 ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_34x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_36x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_35x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_37x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_38x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_39x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd1200 ; n_t_40x ; bd1200 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; rx7 ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_34x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_36x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_35x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_37x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_38x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_39x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -108.000 ; bd600 ; n_t_40x ; bd600 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; rx7 ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_34x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_36x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_35x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_37x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_38x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_39x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd1200 ; n_t_40x ; bd1200 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; rx7 ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_34x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_36x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_35x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_37x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_38x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_39x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.500 ; bd600 ; n_t_40x ; bd600 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; rx7 ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_34x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_36x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_35x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_37x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_38x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_39x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -107.000 ; bd230400 ; n_t_40x ; bd230400 ; bd9600 ; 0.000 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; rx7 ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_34x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_36x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_35x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_37x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_38x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_39x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -106.500 ; bd230400 ; n_t_40x ; bd230400 ; bd9600 ; -0.500 ; 140.000 ; 37.000 ; ; -101.000 ; rx7 ; n_t_34x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_34x ; n_t_36x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_36x ; n_t_35x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_35x ; n_t_37x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_37x ; n_t_38x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_38x ; n_t_39x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -101.000 ; n_t_39x ; n_t_40x ; bd9600 ; bd9600 ; 0.000 ; 106.000 ; 9.000 ; ; -100.000 ; rx7 ; n_t_34x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_34x ; n_t_36x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_36x ; n_t_35x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_35x ; n_t_37x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_37x ; n_t_38x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_38x ; n_t_39x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_39x ; n_t_40x ; bd1200 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; rx7 ; n_t_34x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_34x ; n_t_36x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_36x ; n_t_35x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_35x ; n_t_37x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_37x ; n_t_38x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_38x ; n_t_39x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; n_t_39x ; n_t_40x ; bd600 ; bd9600 ; 0.000 ; 105.000 ; 9.000 ; ; -100.000 ; bd109 ; rx7 ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_34x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_36x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_35x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_37x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_38x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_39x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -100.000 ; bd109 ; n_t_40x ; bd218 ; bd9600 ; 0.000 ; 132.000 ; 36.000 ; ; -99.000 ; rx7 ; n_t_34x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_34x ; n_t_36x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_36x ; n_t_35x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_35x ; n_t_37x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_37x ; n_t_38x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_38x ; n_t_39x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; ; -99.000 ; n_t_39x ; n_t_40x ; bd230400 ; bd9600 ; 0.000 ; 104.000 ; 9.000 ; +----------+-----------+---------+--------------+-------------+--------------+------------+------------+ +-----------------------------------------------------------------------------------------------------+ ; Hold: 'bd19200' ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -84.000 ; bd873 ; bd873 ; bd873 ; bd19200 ; 0.000 ; 88.000 ; 9.000 ; ; -83.500 ; bd873 ; bd873 ; bd873 ; bd19200 ; -0.500 ; 88.000 ; 9.000 ; ; -4.000 ; bd9600 ; bd9600 ; bd9600 ; bd19200 ; 0.000 ; 8.000 ; 9.000 ; ; -3.500 ; bd9600 ; bd9600 ; bd9600 ; bd19200 ; -0.500 ; 8.000 ; 9.000 ; +---------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Hold: 'bd2400' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -4.000 ; bd1200 ; bd1200 ; bd1200 ; bd2400 ; 0.000 ; 8.000 ; 9.000 ; ; -3.500 ; bd1200 ; bd1200 ; bd1200 ; bd2400 ; -0.500 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Hold: 'bd436' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -4.000 ; bd218 ; bd218 ; bd218 ; bd436 ; 0.000 ; 8.000 ; 9.000 ; ; -3.500 ; bd218 ; bd218 ; bd218 ; bd436 ; -0.500 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Hold: 'bd4800' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -4.000 ; bd2400 ; bd2400 ; bd2400 ; bd4800 ; 0.000 ; 8.000 ; 9.000 ; ; -3.500 ; bd2400 ; bd2400 ; bd2400 ; bd4800 ; -0.500 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +----------------------------------------------------------------------------------------------------+ ; Hold: 'bd873' ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; -4.000 ; bd436 ; bd436 ; bd436 ; bd873 ; 0.000 ; 8.000 ; 9.000 ; ; -3.500 ; bd436 ; bd436 ; bd436 ; bd873 ; -0.500 ; 8.000 ; 9.000 ; +--------+-----------+---------+--------------+-------------+--------------+------------+------------+ +---------------------------------------------------------------------------------------------------+ ; Hold: 'bd218' ; +-------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; Slack ; From Node ; To Node ; Launch Clock ; Latch Clock ; Relationship ; Clock Skew ; Data Delay ; +-------+-----------+---------+--------------+-------------+--------------+------------+------------+ ; 5.000 ; bd109 ; bd109 ; bd218 ; bd218 ; 0.000 ; 0.000 ; 9.000 ; +-------+-----------+---------+--------------+-------------+--------------+------------+------------+ +------------------------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd230400' ; +----------+--------------+----------------+------------------+----------+------------+----------------------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +----------+--------------+----------------+------------------+----------+------------+----------------------------+ ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_34x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_35x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_36x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_37x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_38x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_39x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_40x ; ; -173.500 ; -167.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; rx7 ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_34x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_35x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_36x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_37x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_38x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_39x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_40x|[3] ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_41x~9|dataout ; ; -167.500 ; -167.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; rx7|[3] ; ; -158.500 ; -158.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_41x~9|datain[1] ; ; -158.500 ; -158.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; p_pulse_l~9|dataout ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_34x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_35x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_36x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_37x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_38x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_39x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_40x ; ; -147.500 ; -141.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; rx7 ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_34x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_35x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_36x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_37x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_38x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_39x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_40x|[3] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~9|datain[1] ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~9|dataout ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l~9|dataout ; ; -141.500 ; -141.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx7|[3] ; ; -132.500 ; -132.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; comb~12sexp|datain[1] ; ; -132.500 ; -132.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; comb~12sexp|dataout ; ; -132.500 ; -132.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l_m~9|[2] ; ; -132.500 ; -132.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; p_pulse_l_m~9|dataout ; ; -132.500 ; -132.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; p_pulse_l~9|[0] ; ; -132.500 ; -132.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; p_pulse_l~9|[1] ; ; -132.500 ; -132.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; rx_active~10|dataout ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_34x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_35x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_36x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_37x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_38x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_39x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_40x|[2] ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~8|dataout ; ; -104.500 ; -104.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx7|[2] ; ; -88.500 ; -88.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; comb~12sexp|datain[1] ; ; -88.500 ; -88.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; comb~12sexp|dataout ; ; -88.500 ; -88.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; p_pulse_l_m~9|[2] ; ; -88.500 ; -88.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l_m~9|dataout ; ; -88.500 ; -88.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l~9|[0] ; ; -88.500 ; -88.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l~9|[1] ; ; -88.500 ; -88.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_active~10|dataout ; ; -70.500 ; -70.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; ck_pulse~9|dataout ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_34x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_35x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_36x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_37x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_38x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_39x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_40x|[2] ; ; -70.500 ; -70.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~8|datain[0] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_41x~8|dataout ; ; -70.500 ; -70.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~9|datain[0] ; ; -70.500 ; -70.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; rx7|[2] ; ; -67.500 ; -61.500 ; 6.000 ; High Pulse Width ; bd230400 ; Rise ; rx_div ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; ck_pulse_m~9|[0] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; ck_pulse~9|[1] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; comb~10|[2] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; comb~12sexp|datain[0] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; n_t_41x~8|datain[1] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l_m~9|[0] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; p_pulse_l~9|[3] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_active_m~13|[3] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_active~10|[4] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_again_l~2sexp|datain[0] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_div2_l~9|dataout ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_div4_l~9|dataout ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_div|[1] ; ; -61.500 ; -61.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_rate~21|dataout ; ; -40.500 ; -34.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; bd19200 ; ; -34.500 ; -34.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; bd19200|[0] ; ; -34.500 ; -34.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; bd38400~9|dataout ; ; -34.500 ; -34.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_rate~21|[5] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; bd115200~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_41x~8|datain[0] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd230400 ; Fall ; n_t_41x~9|datain[0] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; rx_rate~21|[6] ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd230400 ; Fall ; rx_div ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; bd115200_m~9|[1] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd230400 ; Rise ; bd115200~9|[1] ; +----------+--------------+----------------+------------------+----------+------------+----------------------------+ +-----------------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd1200' ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd1200 ; Fall ; rx7 ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Fall ; rx7 ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; rx7|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; rx7|[3] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; p_pulse_l~9|dataout ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; p_pulse_l~9|dataout ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd1200 ; Rise ; rx7 ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd1200 ; Rise ; rx7 ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; rx7|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; rx7|[3] ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; p_pulse_l~9|[0] ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; p_pulse_l~9|[0] ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; p_pulse_l_m~9|[2] ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; p_pulse_l_m~9|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd1200 ; Fall ; n_t_40x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd1200 ; Fall ; n_t_40x|[2] ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ +----------------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd600' ; +----------+--------------+----------------+------------------+-------+------------+-----------------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +----------+--------------+----------------+------------------+-------+------------+-----------------------+ ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd600 ; Fall ; rx7 ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd600 ; Fall ; rx7 ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; rx7|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; rx7|[3] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; p_pulse_l~9|dataout ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; p_pulse_l~9|dataout ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd600 ; Rise ; rx7 ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd600 ; Rise ; rx7 ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; rx7|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; rx7|[3] ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; p_pulse_l~9|[0] ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; p_pulse_l~9|[0] ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; p_pulse_l_m~9|[2] ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; p_pulse_l_m~9|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd600 ; Fall ; n_t_40x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd600 ; Fall ; n_t_40x|[2] ; +----------+--------------+----------------+------------------+-------+------------+-----------------------+ +-----------------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd9600' ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_34x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_35x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_36x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_37x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_38x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_39x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_40x ; ; -111.500 ; -105.500 ; 6.000 ; High Pulse Width ; bd9600 ; Fall ; rx7 ; ; -111.500 ; -105.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Fall ; rx7 ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_34x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_35x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_36x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_37x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_38x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_39x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_40x|[3] ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_41x~9|dataout ; ; -105.500 ; -105.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; rx7|[3] ; ; -105.500 ; -105.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; rx7|[3] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_41x~9|datain[1] ; ; -96.500 ; -96.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; p_pulse_l~9|dataout ; ; -96.500 ; -96.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; p_pulse_l~9|dataout ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_34x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_35x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_36x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_37x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_38x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_39x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_40x ; ; -85.500 ; -79.500 ; 6.000 ; High Pulse Width ; bd9600 ; Rise ; rx7 ; ; -85.500 ; -79.500 ; 6.000 ; Low Pulse Width ; bd9600 ; Rise ; rx7 ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_34x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_35x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_36x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_37x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_38x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_39x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_40x|[3] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_41x~9|datain[1] ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; n_t_41x~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; p_pulse_l~9|dataout ; ; -79.500 ; -79.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; rx7|[3] ; ; -79.500 ; -79.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; rx7|[3] ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; p_pulse_l_m~9|dataout ; ; -35.500 ; -35.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; p_pulse_l~9|[0] ; ; -35.500 ; -35.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; p_pulse_l~9|[0] ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; comb~12sexp|dataout ; ; -27.500 ; -27.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; p_pulse_l_m~9|[2] ; ; -27.500 ; -27.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; p_pulse_l_m~9|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Rise ; ck_pulse~9|dataout ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_34x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_35x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_36x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_37x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_38x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_39x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; High Pulse Width ; bd9600 ; Fall ; n_t_40x|[2] ; ; -8.500 ; -8.500 ; 0.000 ; Low Pulse Width ; bd9600 ; Fall ; n_t_40x|[2] ; +----------+--------------+----------------+------------------+--------+------------+-----------------------+ +------------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd19200' ; +---------+--------------+----------------+------------------+---------+------------+------------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +---------+--------------+----------------+------------------+---------+------------+------------------+ ; -59.500 ; -53.500 ; 6.000 ; High Pulse Width ; bd19200 ; Fall ; bd873 ; ; -59.500 ; -53.500 ; 6.000 ; Low Pulse Width ; bd19200 ; Fall ; bd873 ; ; -53.500 ; -53.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; bd1745~9|dataout ; ; -53.500 ; -53.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; bd1745~9|dataout ; ; -53.500 ; -53.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; bd873|[0] ; ; -53.500 ; -53.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; bd873|[0] ; ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd19200 ; Fall ; bd9600 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd19200 ; Fall ; bd9600 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; bd19200|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; bd19200|dataout ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; bd9600|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; bd9600|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; n_t_2x_m~9|[1] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; n_t_2x_m~9|[1] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd19200 ; Rise ; n_t_2x~9|[1] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd19200 ; Rise ; n_t_2x~9|[1] ; +---------+--------------+----------------+------------------+---------+------------+------------------+ +------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd218' ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd218 ; Fall ; bd109 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd218 ; Fall ; bd109 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd218 ; Rise ; bd109|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd218 ; Rise ; bd109|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd218 ; Rise ; bd218|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd218 ; Rise ; bd218|dataout ; +--------+--------------+----------------+------------------+-------+------------+---------------+ +--------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd2400' ; +--------+--------------+----------------+------------------+--------+------------+----------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+--------+------------+----------------+ ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd2400 ; Fall ; bd1200 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd2400 ; Fall ; bd1200 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd2400 ; Rise ; bd1200|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd2400 ; Rise ; bd1200|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd2400 ; Rise ; bd2400|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd2400 ; Rise ; bd2400|dataout ; +--------+--------------+----------------+------------------+--------+------------+----------------+ +------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd436' ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd436 ; Fall ; bd218 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd436 ; Fall ; bd218 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd436 ; Rise ; bd218|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd436 ; Rise ; bd218|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd436 ; Rise ; bd436|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd436 ; Rise ; bd436|dataout ; +--------+--------------+----------------+------------------+-------+------------+---------------+ +--------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd4800' ; +--------+--------------+----------------+------------------+--------+------------+----------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+--------+------------+----------------+ ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd4800 ; Fall ; bd2400 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd4800 ; Fall ; bd2400 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd4800 ; Rise ; bd2400|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd4800 ; Rise ; bd2400|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd4800 ; Rise ; bd4800|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd4800 ; Rise ; bd4800|dataout ; +--------+--------------+----------------+------------------+--------+------------+----------------+ +------------------------------------------------------------------------------------------------+ ; Minimum Pulse Width: 'bd873' ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; Slack ; Actual Width ; Required Width ; Type ; Clock ; Clock Edge ; Target ; +--------+--------------+----------------+------------------+-------+------------+---------------+ ; -5.500 ; 0.500 ; 6.000 ; High Pulse Width ; bd873 ; Fall ; bd436 ; ; -5.500 ; 0.500 ; 6.000 ; Low Pulse Width ; bd873 ; Fall ; bd436 ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd873 ; Rise ; bd436|[0] ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd873 ; Rise ; bd436|[0] ; ; 0.500 ; 0.500 ; 0.000 ; High Pulse Width ; bd873 ; Rise ; bd873|dataout ; ; 0.500 ; 0.500 ; 0.000 ; Low Pulse Width ; bd873 ; Rise ; bd873|dataout ; +--------+--------------+----------------+------------------+-------+------------+---------------+ +----------------------------------------------------------------------------+ ; Setup Times ; +------------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+---------+---------+------------+-----------------+ ; bd230400 ; bd1200 ; 156.000 ; 156.000 ; Rise ; bd1200 ; ; initialize ; bd1200 ; 85.000 ; 85.000 ; Rise ; bd1200 ; ; power_ok ; bd1200 ; 85.000 ; 85.000 ; Rise ; bd1200 ; ; serial_in ; bd1200 ; 85.000 ; 85.000 ; Rise ; bd1200 ; ; sw1 ; bd1200 ; 95.000 ; 95.000 ; Rise ; bd1200 ; ; sw2 ; bd1200 ; 95.000 ; 95.000 ; Rise ; bd1200 ; ; sw3 ; bd1200 ; 95.000 ; 95.000 ; Rise ; bd1200 ; ; bd230400 ; bd1200 ; 165.000 ; 165.000 ; Fall ; bd1200 ; ; initialize ; bd1200 ; 94.000 ; 94.000 ; Fall ; bd1200 ; ; power_ok ; bd1200 ; 94.000 ; 94.000 ; Fall ; bd1200 ; ; serial_in ; bd1200 ; 94.000 ; 94.000 ; Fall ; bd1200 ; ; sw1 ; bd1200 ; 104.000 ; 104.000 ; Fall ; bd1200 ; ; sw2 ; bd1200 ; 104.000 ; 104.000 ; Fall ; bd1200 ; ; sw3 ; bd1200 ; 104.000 ; 104.000 ; Fall ; bd1200 ; ; bd230400 ; bd230400 ; 155.000 ; 155.000 ; Rise ; bd230400 ; ; initialize ; bd230400 ; 84.000 ; 84.000 ; Rise ; bd230400 ; ; power_ok ; bd230400 ; 84.000 ; 84.000 ; Rise ; bd230400 ; ; serial_in ; bd230400 ; 84.000 ; 84.000 ; Rise ; bd230400 ; ; sw1 ; bd230400 ; 94.000 ; 94.000 ; Rise ; bd230400 ; ; sw2 ; bd230400 ; 94.000 ; 94.000 ; Rise ; bd230400 ; ; sw3 ; bd230400 ; 94.000 ; 94.000 ; Rise ; bd230400 ; ; bd230400 ; bd230400 ; 164.000 ; 164.000 ; Fall ; bd230400 ; ; initialize ; bd230400 ; 93.000 ; 93.000 ; Fall ; bd230400 ; ; power_ok ; bd230400 ; 93.000 ; 93.000 ; Fall ; bd230400 ; ; serial_in ; bd230400 ; 93.000 ; 93.000 ; Fall ; bd230400 ; ; sw1 ; bd230400 ; 103.000 ; 103.000 ; Fall ; bd230400 ; ; sw2 ; bd230400 ; 103.000 ; 103.000 ; Fall ; bd230400 ; ; sw3 ; bd230400 ; 103.000 ; 103.000 ; Fall ; bd230400 ; ; bd230400 ; bd600 ; 156.000 ; 156.000 ; Rise ; bd600 ; ; initialize ; bd600 ; 85.000 ; 85.000 ; Rise ; bd600 ; ; power_ok ; bd600 ; 85.000 ; 85.000 ; Rise ; bd600 ; ; serial_in ; bd600 ; 85.000 ; 85.000 ; Rise ; bd600 ; ; sw1 ; bd600 ; 95.000 ; 95.000 ; Rise ; bd600 ; ; sw2 ; bd600 ; 95.000 ; 95.000 ; Rise ; bd600 ; ; sw3 ; bd600 ; 95.000 ; 95.000 ; Rise ; bd600 ; ; bd230400 ; bd600 ; 165.000 ; 165.000 ; Fall ; bd600 ; ; initialize ; bd600 ; 94.000 ; 94.000 ; Fall ; bd600 ; ; power_ok ; bd600 ; 94.000 ; 94.000 ; Fall ; bd600 ; ; serial_in ; bd600 ; 94.000 ; 94.000 ; Fall ; bd600 ; ; sw1 ; bd600 ; 104.000 ; 104.000 ; Fall ; bd600 ; ; sw2 ; bd600 ; 104.000 ; 104.000 ; Fall ; bd600 ; ; sw3 ; bd600 ; 104.000 ; 104.000 ; Fall ; bd600 ; ; bd230400 ; bd9600 ; 157.000 ; 157.000 ; Rise ; bd9600 ; ; initialize ; bd9600 ; 86.000 ; 86.000 ; Rise ; bd9600 ; ; power_ok ; bd9600 ; 86.000 ; 86.000 ; Rise ; bd9600 ; ; serial_in ; bd9600 ; 86.000 ; 86.000 ; Rise ; bd9600 ; ; sw1 ; bd9600 ; 96.000 ; 96.000 ; Rise ; bd9600 ; ; sw2 ; bd9600 ; 96.000 ; 96.000 ; Rise ; bd9600 ; ; sw3 ; bd9600 ; 96.000 ; 96.000 ; Rise ; bd9600 ; ; bd230400 ; bd9600 ; 166.000 ; 166.000 ; Fall ; bd9600 ; ; initialize ; bd9600 ; 95.000 ; 95.000 ; Fall ; bd9600 ; ; power_ok ; bd9600 ; 95.000 ; 95.000 ; Fall ; bd9600 ; ; serial_in ; bd9600 ; 95.000 ; 95.000 ; Fall ; bd9600 ; ; sw1 ; bd9600 ; 105.000 ; 105.000 ; Fall ; bd9600 ; ; sw2 ; bd9600 ; 105.000 ; 105.000 ; Fall ; bd9600 ; ; sw3 ; bd9600 ; 105.000 ; 105.000 ; Fall ; bd9600 ; +------------+------------+---------+---------+------------+-----------------+ +----------------------------------------------------------------------------+ ; Hold Times ; +------------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+---------+---------+------------+-----------------+ ; bd230400 ; bd1200 ; 91.000 ; 91.000 ; Rise ; bd1200 ; ; initialize ; bd1200 ; 48.000 ; 48.000 ; Rise ; bd1200 ; ; power_ok ; bd1200 ; 100.000 ; 100.000 ; Rise ; bd1200 ; ; serial_in ; bd1200 ; 118.000 ; 118.000 ; Rise ; bd1200 ; ; sw1 ; bd1200 ; 91.000 ; 91.000 ; Rise ; bd1200 ; ; sw2 ; bd1200 ; 91.000 ; 91.000 ; Rise ; bd1200 ; ; sw3 ; bd1200 ; 91.000 ; 91.000 ; Rise ; bd1200 ; ; bd230400 ; bd1200 ; 108.000 ; 108.000 ; Fall ; bd1200 ; ; initialize ; bd1200 ; 65.000 ; 65.000 ; Fall ; bd1200 ; ; power_ok ; bd1200 ; 117.000 ; 117.000 ; Fall ; bd1200 ; ; serial_in ; bd1200 ; 135.000 ; 135.000 ; Fall ; bd1200 ; ; sw1 ; bd1200 ; 108.000 ; 108.000 ; Fall ; bd1200 ; ; sw2 ; bd1200 ; 108.000 ; 108.000 ; Fall ; bd1200 ; ; sw3 ; bd1200 ; 108.000 ; 108.000 ; Fall ; bd1200 ; ; bd230400 ; bd230400 ; 154.000 ; 154.000 ; Rise ; bd230400 ; ; initialize ; bd230400 ; 111.000 ; 111.000 ; Rise ; bd230400 ; ; power_ok ; bd230400 ; 163.000 ; 163.000 ; Rise ; bd230400 ; ; serial_in ; bd230400 ; 181.000 ; 181.000 ; Rise ; bd230400 ; ; sw1 ; bd230400 ; 154.000 ; 154.000 ; Rise ; bd230400 ; ; sw2 ; bd230400 ; 154.000 ; 154.000 ; Rise ; bd230400 ; ; sw3 ; bd230400 ; 154.000 ; 154.000 ; Rise ; bd230400 ; ; bd230400 ; bd230400 ; 171.000 ; 171.000 ; Fall ; bd230400 ; ; initialize ; bd230400 ; 128.000 ; 128.000 ; Fall ; bd230400 ; ; power_ok ; bd230400 ; 180.000 ; 180.000 ; Fall ; bd230400 ; ; serial_in ; bd230400 ; 198.000 ; 198.000 ; Fall ; bd230400 ; ; sw1 ; bd230400 ; 171.000 ; 171.000 ; Fall ; bd230400 ; ; sw2 ; bd230400 ; 171.000 ; 171.000 ; Fall ; bd230400 ; ; sw3 ; bd230400 ; 171.000 ; 171.000 ; Fall ; bd230400 ; ; bd230400 ; bd600 ; 91.000 ; 91.000 ; Rise ; bd600 ; ; initialize ; bd600 ; 48.000 ; 48.000 ; Rise ; bd600 ; ; power_ok ; bd600 ; 100.000 ; 100.000 ; Rise ; bd600 ; ; serial_in ; bd600 ; 118.000 ; 118.000 ; Rise ; bd600 ; ; sw1 ; bd600 ; 91.000 ; 91.000 ; Rise ; bd600 ; ; sw2 ; bd600 ; 91.000 ; 91.000 ; Rise ; bd600 ; ; sw3 ; bd600 ; 91.000 ; 91.000 ; Rise ; bd600 ; ; bd230400 ; bd600 ; 108.000 ; 108.000 ; Fall ; bd600 ; ; initialize ; bd600 ; 65.000 ; 65.000 ; Fall ; bd600 ; ; power_ok ; bd600 ; 117.000 ; 117.000 ; Fall ; bd600 ; ; serial_in ; bd600 ; 135.000 ; 135.000 ; Fall ; bd600 ; ; sw1 ; bd600 ; 108.000 ; 108.000 ; Fall ; bd600 ; ; sw2 ; bd600 ; 108.000 ; 108.000 ; Fall ; bd600 ; ; sw3 ; bd600 ; 108.000 ; 108.000 ; Fall ; bd600 ; ; bd230400 ; bd9600 ; 90.000 ; 90.000 ; Rise ; bd9600 ; ; initialize ; bd9600 ; 47.000 ; 47.000 ; Rise ; bd9600 ; ; power_ok ; bd9600 ; 99.000 ; 99.000 ; Rise ; bd9600 ; ; serial_in ; bd9600 ; 117.000 ; 117.000 ; Rise ; bd9600 ; ; sw1 ; bd9600 ; 90.000 ; 90.000 ; Rise ; bd9600 ; ; sw2 ; bd9600 ; 90.000 ; 90.000 ; Rise ; bd9600 ; ; sw3 ; bd9600 ; 90.000 ; 90.000 ; Rise ; bd9600 ; ; bd230400 ; bd9600 ; 107.000 ; 107.000 ; Fall ; bd9600 ; ; initialize ; bd9600 ; 64.000 ; 64.000 ; Fall ; bd9600 ; ; power_ok ; bd9600 ; 116.000 ; 116.000 ; Fall ; bd9600 ; ; serial_in ; bd9600 ; 134.000 ; 134.000 ; Fall ; bd9600 ; ; sw1 ; bd9600 ; 107.000 ; 107.000 ; Fall ; bd9600 ; ; sw2 ; bd9600 ; 107.000 ; 107.000 ; Fall ; bd9600 ; ; sw3 ; bd9600 ; 107.000 ; 107.000 ; Fall ; bd9600 ; +------------+------------+---------+---------+------------+-----------------+ +----------------------------------------------------------------------------+ ; Clock to Output Times ; +------------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+---------+---------+------------+-----------------+ ; data04_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data05_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data06_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data07_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data08_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data09_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data10_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; data11_l ; bd1200 ; 138.000 ; 138.000 ; Rise ; bd1200 ; ; int_rqst_l ; bd1200 ; 174.000 ; 174.000 ; Rise ; bd1200 ; ; serial_out ; bd1200 ; 132.000 ; 132.000 ; Rise ; bd1200 ; ; skip_l ; bd1200 ; 183.000 ; 183.000 ; Rise ; bd1200 ; ; tp_ab1 ; bd1200 ; 222.000 ; 222.000 ; Rise ; bd1200 ; ; tp_ba1 ; bd1200 ; 61.000 ; 27.000 ; Rise ; bd1200 ; ; data04_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data05_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data06_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data07_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data08_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data09_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data10_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; data11_l ; bd1200 ; 155.000 ; 155.000 ; Fall ; bd1200 ; ; int_rqst_l ; bd1200 ; 191.000 ; 191.000 ; Fall ; bd1200 ; ; serial_out ; bd1200 ; 132.000 ; 132.000 ; Fall ; bd1200 ; ; skip_l ; bd1200 ; 200.000 ; 200.000 ; Fall ; bd1200 ; ; tp_ab1 ; bd1200 ; 239.000 ; 239.000 ; Fall ; bd1200 ; ; tp_ba1 ; bd1200 ; 27.000 ; 61.000 ; Fall ; bd1200 ; ; int_rqst_l ; bd218 ; 118.000 ; 118.000 ; Fall ; bd218 ; ; serial_out ; bd218 ; 140.000 ; 140.000 ; Fall ; bd218 ; ; skip_l ; bd218 ; 127.000 ; 127.000 ; Fall ; bd218 ; ; tp_ab1 ; bd218 ; 115.000 ; 115.000 ; Fall ; bd218 ; ; tp_ba1 ; bd218 ; 69.000 ; 69.000 ; Fall ; bd218 ; ; data04_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data05_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data06_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data07_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data08_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data09_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data10_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; data11_l ; bd230400 ; 201.000 ; 201.000 ; Rise ; bd230400 ; ; int_rqst_l ; bd230400 ; 237.000 ; 237.000 ; Rise ; bd230400 ; ; serial_out ; bd230400 ; 195.000 ; 195.000 ; Rise ; bd230400 ; ; skip_l ; bd230400 ; 246.000 ; 246.000 ; Rise ; bd230400 ; ; tp_ab1 ; bd230400 ; 285.000 ; 285.000 ; Rise ; bd230400 ; ; tp_ba1 ; bd230400 ; 124.000 ; 124.000 ; Rise ; bd230400 ; ; data04_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data05_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data06_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data07_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data08_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data09_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data10_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; data11_l ; bd230400 ; 218.000 ; 218.000 ; Fall ; bd230400 ; ; int_rqst_l ; bd230400 ; 254.000 ; 254.000 ; Fall ; bd230400 ; ; serial_out ; bd230400 ; 195.000 ; 195.000 ; Fall ; bd230400 ; ; skip_l ; bd230400 ; 263.000 ; 263.000 ; Fall ; bd230400 ; ; tp_ab1 ; bd230400 ; 302.000 ; 302.000 ; Fall ; bd230400 ; ; tp_ba1 ; bd230400 ; 124.000 ; 124.000 ; Fall ; bd230400 ; ; data04_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data05_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data06_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data07_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data08_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data09_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data10_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; data11_l ; bd600 ; 138.000 ; 138.000 ; Rise ; bd600 ; ; int_rqst_l ; bd600 ; 174.000 ; 174.000 ; Rise ; bd600 ; ; serial_out ; bd600 ; 132.000 ; 132.000 ; Rise ; bd600 ; ; skip_l ; bd600 ; 183.000 ; 183.000 ; Rise ; bd600 ; ; tp_ab1 ; bd600 ; 222.000 ; 222.000 ; Rise ; bd600 ; ; tp_ba1 ; bd600 ; 61.000 ; 27.000 ; Rise ; bd600 ; ; data04_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data05_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data06_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data07_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data08_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data09_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data10_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; data11_l ; bd600 ; 155.000 ; 155.000 ; Fall ; bd600 ; ; int_rqst_l ; bd600 ; 191.000 ; 191.000 ; Fall ; bd600 ; ; serial_out ; bd600 ; 141.000 ; 141.000 ; Fall ; bd600 ; ; skip_l ; bd600 ; 200.000 ; 200.000 ; Fall ; bd600 ; ; tp_ab1 ; bd600 ; 239.000 ; 239.000 ; Fall ; bd600 ; ; tp_ba1 ; bd600 ; 70.000 ; 70.000 ; Fall ; bd600 ; ; data04_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data05_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data06_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data07_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data08_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data09_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data10_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; data11_l ; bd9600 ; 137.000 ; 137.000 ; Rise ; bd9600 ; ; int_rqst_l ; bd9600 ; 173.000 ; 173.000 ; Rise ; bd9600 ; ; serial_out ; bd9600 ; 131.000 ; 131.000 ; Rise ; bd9600 ; ; skip_l ; bd9600 ; 182.000 ; 182.000 ; Rise ; bd9600 ; ; tp_ab1 ; bd9600 ; 221.000 ; 221.000 ; Rise ; bd9600 ; ; tp_ba1 ; bd9600 ; 60.000 ; 26.000 ; Rise ; bd9600 ; ; data04_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data05_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data06_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data07_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data08_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data09_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data10_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; data11_l ; bd9600 ; 154.000 ; 154.000 ; Fall ; bd9600 ; ; int_rqst_l ; bd9600 ; 190.000 ; 190.000 ; Fall ; bd9600 ; ; serial_out ; bd9600 ; 131.000 ; 131.000 ; Fall ; bd9600 ; ; skip_l ; bd9600 ; 199.000 ; 199.000 ; Fall ; bd9600 ; ; tp_ab1 ; bd9600 ; 238.000 ; 238.000 ; Fall ; bd9600 ; ; tp_ba1 ; bd9600 ; 26.000 ; 60.000 ; Fall ; bd9600 ; +------------+------------+---------+---------+------------+-----------------+ +----------------------------------------------------------------------------+ ; Minimum Clock to Output Times ; +------------+------------+---------+---------+------------+-----------------+ ; Data Port ; Clock Port ; Rise ; Fall ; Clock Edge ; Clock Reference ; +------------+------------+---------+---------+------------+-----------------+ ; data04_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data05_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data06_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data07_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data08_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data09_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data10_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; data11_l ; bd1200 ; 58.000 ; 58.000 ; Rise ; bd1200 ; ; int_rqst_l ; bd1200 ; 41.000 ; 41.000 ; Rise ; bd1200 ; ; serial_out ; bd1200 ; 36.000 ; 36.000 ; Rise ; bd1200 ; ; skip_l ; bd1200 ; 41.000 ; 41.000 ; Rise ; bd1200 ; ; tp_ab1 ; bd1200 ; 27.000 ; 107.000 ; Rise ; bd1200 ; ; tp_ba1 ; bd1200 ; 27.000 ; 27.000 ; Rise ; bd1200 ; ; data04_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data05_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data06_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data07_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data08_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data09_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data10_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; data11_l ; bd1200 ; 49.000 ; 49.000 ; Fall ; bd1200 ; ; int_rqst_l ; bd1200 ; 41.000 ; 41.000 ; Fall ; bd1200 ; ; serial_out ; bd1200 ; 36.000 ; 36.000 ; Fall ; bd1200 ; ; skip_l ; bd1200 ; 41.000 ; 41.000 ; Fall ; bd1200 ; ; tp_ab1 ; bd1200 ; 107.000 ; 27.000 ; Fall ; bd1200 ; ; tp_ba1 ; bd1200 ; 27.000 ; 27.000 ; Fall ; bd1200 ; ; int_rqst_l ; bd218 ; 49.000 ; 49.000 ; Fall ; bd218 ; ; serial_out ; bd218 ; 44.000 ; 44.000 ; Fall ; bd218 ; ; skip_l ; bd218 ; 49.000 ; 49.000 ; Fall ; bd218 ; ; tp_ab1 ; bd218 ; 35.000 ; 35.000 ; Fall ; bd218 ; ; tp_ba1 ; bd218 ; 35.000 ; 35.000 ; Fall ; bd218 ; ; data04_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data05_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data06_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data07_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data08_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data09_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data10_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; data11_l ; bd230400 ; 59.000 ; 59.000 ; Rise ; bd230400 ; ; int_rqst_l ; bd230400 ; 42.000 ; 42.000 ; Rise ; bd230400 ; ; serial_out ; bd230400 ; 37.000 ; 37.000 ; Rise ; bd230400 ; ; skip_l ; bd230400 ; 42.000 ; 42.000 ; Rise ; bd230400 ; ; tp_ab1 ; bd230400 ; 28.000 ; 28.000 ; Rise ; bd230400 ; ; tp_ba1 ; bd230400 ; 28.000 ; 28.000 ; Rise ; bd230400 ; ; data04_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data05_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data06_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data07_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data08_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data09_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data10_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; data11_l ; bd230400 ; 50.000 ; 50.000 ; Fall ; bd230400 ; ; int_rqst_l ; bd230400 ; 42.000 ; 42.000 ; Fall ; bd230400 ; ; serial_out ; bd230400 ; 37.000 ; 37.000 ; Fall ; bd230400 ; ; skip_l ; bd230400 ; 42.000 ; 42.000 ; Fall ; bd230400 ; ; tp_ab1 ; bd230400 ; 28.000 ; 28.000 ; Fall ; bd230400 ; ; tp_ba1 ; bd230400 ; 28.000 ; 28.000 ; Fall ; bd230400 ; ; data04_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data05_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data06_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data07_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data08_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data09_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data10_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; data11_l ; bd600 ; 58.000 ; 58.000 ; Rise ; bd600 ; ; int_rqst_l ; bd600 ; 41.000 ; 41.000 ; Rise ; bd600 ; ; serial_out ; bd600 ; 36.000 ; 36.000 ; Rise ; bd600 ; ; skip_l ; bd600 ; 41.000 ; 41.000 ; Rise ; bd600 ; ; tp_ab1 ; bd600 ; 27.000 ; 107.000 ; Rise ; bd600 ; ; tp_ba1 ; bd600 ; 27.000 ; 27.000 ; Rise ; bd600 ; ; data04_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data05_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data06_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data07_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data08_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data09_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data10_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; data11_l ; bd600 ; 49.000 ; 49.000 ; Fall ; bd600 ; ; int_rqst_l ; bd600 ; 41.000 ; 41.000 ; Fall ; bd600 ; ; serial_out ; bd600 ; 36.000 ; 36.000 ; Fall ; bd600 ; ; skip_l ; bd600 ; 41.000 ; 41.000 ; Fall ; bd600 ; ; tp_ab1 ; bd600 ; 36.000 ; 27.000 ; Fall ; bd600 ; ; tp_ba1 ; bd600 ; 27.000 ; 27.000 ; Fall ; bd600 ; ; data04_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data05_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data06_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data07_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data08_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data09_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data10_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; data11_l ; bd9600 ; 57.000 ; 57.000 ; Rise ; bd9600 ; ; int_rqst_l ; bd9600 ; 40.000 ; 40.000 ; Rise ; bd9600 ; ; serial_out ; bd9600 ; 35.000 ; 35.000 ; Rise ; bd9600 ; ; skip_l ; bd9600 ; 40.000 ; 40.000 ; Rise ; bd9600 ; ; tp_ab1 ; bd9600 ; 26.000 ; 106.000 ; Rise ; bd9600 ; ; tp_ba1 ; bd9600 ; 26.000 ; 26.000 ; Rise ; bd9600 ; ; data04_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data05_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data06_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data07_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data08_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data09_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data10_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; data11_l ; bd9600 ; 48.000 ; 48.000 ; Fall ; bd9600 ; ; int_rqst_l ; bd9600 ; 40.000 ; 40.000 ; Fall ; bd9600 ; ; serial_out ; bd9600 ; 35.000 ; 35.000 ; Fall ; bd9600 ; ; skip_l ; bd9600 ; 40.000 ; 40.000 ; Fall ; bd9600 ; ; tp_ab1 ; bd9600 ; 106.000 ; 26.000 ; Fall ; bd9600 ; ; tp_ba1 ; bd9600 ; 26.000 ; 26.000 ; Fall ; bd9600 ; +------------+------------+---------+---------+------------+-----------------+ +--------------------------------------------------------------------+ ; Propagation Delay ; +------------+---------------+---------+---------+---------+---------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+---------------+---------+---------+---------+---------+ ; data04_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data04_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data04_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data04_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data05_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data05_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data05_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data05_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data06_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data06_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data06_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data06_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data07_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data07_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data07_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data07_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data08_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data08_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data08_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data08_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data09_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data09_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data09_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data09_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data10_l ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; data10_l ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; data10_l ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; data10_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data11_l ; int_enab ; 36.000 ; ; ; 36.000 ; ; data11_l ; int_rqst_l ; 41.000 ; ; ; 41.000 ; ; data11_l ; serial_out ; 46.000 ; ; ; 46.000 ; ; data11_l ; skip_l ; 50.000 ; ; ; 50.000 ; ; initialize ; int_enab ; ; 28.000 ; 28.000 ; ; ; initialize ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; initialize ; serial_out ; 124.000 ; 124.000 ; 124.000 ; 124.000 ; ; initialize ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; initialize ; tp_ab1 ; ; 99.000 ; 99.000 ; ; ; initialize ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; io_pause_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; c1_l ; 23.000 ; 15.000 ; 15.000 ; 23.000 ; ; io_pause_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; int_enab ; 36.000 ; 28.000 ; 28.000 ; 36.000 ; ; io_pause_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; io_pause_l ; internal_io_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; io_pause_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; io_pause_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; io_pause_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md03_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md03_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; md03_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md03_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md03_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md03_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md03_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md04_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md04_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; md04_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md04_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md04_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md04_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md04_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md05_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; c1_l ; 23.000 ; 15.000 ; 15.000 ; 23.000 ; ; md05_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; int_enab ; 36.000 ; 28.000 ; 28.000 ; 36.000 ; ; md05_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md05_l ; internal_io_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md05_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md05_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md05_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md06_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md06_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; md06_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md06_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md06_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md06_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md06_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md07_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; c1_l ; 23.000 ; 23.000 ; 23.000 ; 23.000 ; ; md07_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; md07_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md07_l ; internal_io_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md07_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md07_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md07_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md07_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md08_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; c1_l ; 23.000 ; 23.000 ; 23.000 ; 23.000 ; ; md08_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; int_enab ; 36.000 ; 28.000 ; 28.000 ; 36.000 ; ; md08_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md08_l ; internal_io_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md08_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md08_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md08_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md08_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md09_l ; c1_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; int_enab ; 36.000 ; 28.000 ; 28.000 ; 36.000 ; ; md09_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md09_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md09_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md09_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md09_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md10_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md10_l ; c1_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md10_l ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; md10_l ; int_rqst_l ; 50.000 ; 42.000 ; 42.000 ; 50.000 ; ; md10_l ; skip_l ; 59.000 ; 51.000 ; 51.000 ; 59.000 ; ; md11_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; c1_l ; 23.000 ; ; ; 23.000 ; ; md11_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; int_enab ; 36.000 ; 28.000 ; 28.000 ; 36.000 ; ; md11_l ; int_rqst_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; md11_l ; serial_out ; 133.000 ; 133.000 ; 133.000 ; 133.000 ; ; md11_l ; skip_l ; 120.000 ; 120.000 ; 120.000 ; 120.000 ; ; md11_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md11_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; power_ok ; int_rqst_l ; 51.000 ; 51.000 ; 51.000 ; 51.000 ; ; power_ok ; skip_l ; 60.000 ; 60.000 ; 60.000 ; 60.000 ; ; power_ok ; tp_ab1 ; 19.000 ; 99.000 ; 99.000 ; 19.000 ; ; serial_in ; int_rqst_l ; 51.000 ; 51.000 ; 51.000 ; 51.000 ; ; serial_in ; skip_l ; 60.000 ; 60.000 ; 60.000 ; 60.000 ; ; serial_in ; tp_ab1 ; 19.000 ; 99.000 ; 99.000 ; 19.000 ; ; sw1 ; int_rqst_l ; 112.000 ; 112.000 ; 112.000 ; 112.000 ; ; sw1 ; serial_out ; 134.000 ; 134.000 ; 134.000 ; 134.000 ; ; sw1 ; skip_l ; 121.000 ; 121.000 ; 121.000 ; 121.000 ; ; sw1 ; tp_ab1 ; 109.000 ; 108.000 ; 108.000 ; 109.000 ; ; sw1 ; tp_ba1 ; 62.000 ; 63.000 ; 63.000 ; 62.000 ; ; sw2 ; int_rqst_l ; 112.000 ; 112.000 ; 112.000 ; 112.000 ; ; sw2 ; serial_out ; 134.000 ; 134.000 ; 134.000 ; 134.000 ; ; sw2 ; skip_l ; 121.000 ; 121.000 ; 121.000 ; 121.000 ; ; sw2 ; tp_ab1 ; 109.000 ; 109.000 ; 109.000 ; 109.000 ; ; sw2 ; tp_ba1 ; 63.000 ; 63.000 ; 63.000 ; 63.000 ; ; sw3 ; int_rqst_l ; 112.000 ; 112.000 ; 112.000 ; 112.000 ; ; sw3 ; serial_out ; 134.000 ; 134.000 ; 134.000 ; 134.000 ; ; sw3 ; skip_l ; 121.000 ; 121.000 ; 121.000 ; 121.000 ; ; sw3 ; tp_ab1 ; 109.000 ; 109.000 ; 109.000 ; 109.000 ; ; sw3 ; tp_ba1 ; 63.000 ; 63.000 ; 63.000 ; 63.000 ; ; tp3 ; int_enab ; 28.000 ; 36.000 ; 36.000 ; 28.000 ; ; tp3 ; int_rqst_l ; 102.000 ; 102.000 ; 102.000 ; 102.000 ; ; tp3 ; serial_out ; 97.000 ; 97.000 ; 97.000 ; 97.000 ; ; tp3 ; skip_l ; 111.000 ; 111.000 ; 111.000 ; 111.000 ; ; tp3 ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; tp3 ; tp_ca1 ; 19.000 ; ; ; 19.000 ; +------------+---------------+---------+---------+---------+---------+ +------------------------------------------------------------------+ ; Minimum Propagation Delay ; +------------+---------------+--------+---------+---------+--------+ ; Input Port ; Output Port ; RR ; RF ; FR ; FF ; +------------+---------------+--------+---------+---------+--------+ ; data04_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data04_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data04_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data04_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data05_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data05_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data05_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data05_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data06_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data06_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data06_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data06_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data07_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data07_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data07_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data07_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data08_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data08_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data08_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data08_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data09_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data09_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data09_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data09_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data10_l ; int_rqst_l ; 59.000 ; 93.000 ; 93.000 ; 59.000 ; ; data10_l ; serial_out ; 62.000 ; 71.000 ; 71.000 ; 62.000 ; ; data10_l ; skip_l ; 68.000 ; 102.000 ; 102.000 ; 68.000 ; ; data10_l ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; data11_l ; int_enab ; 36.000 ; ; ; 36.000 ; ; data11_l ; int_rqst_l ; 41.000 ; ; ; 41.000 ; ; data11_l ; serial_out ; 46.000 ; ; ; 46.000 ; ; data11_l ; skip_l ; 50.000 ; ; ; 50.000 ; ; initialize ; int_enab ; ; 19.000 ; 19.000 ; ; ; initialize ; int_rqst_l ; 33.000 ; 24.000 ; 24.000 ; 33.000 ; ; initialize ; serial_out ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; initialize ; skip_l ; 42.000 ; 33.000 ; 33.000 ; 42.000 ; ; initialize ; tp_ab1 ; ; 99.000 ; 99.000 ; ; ; initialize ; tp_ba1 ; 19.000 ; ; ; 19.000 ; ; io_pause_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; c1_l ; 23.000 ; 15.000 ; 15.000 ; 23.000 ; ; io_pause_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; int_enab ; 27.000 ; 19.000 ; 19.000 ; 27.000 ; ; io_pause_l ; int_rqst_l ; 32.000 ; 24.000 ; 24.000 ; 32.000 ; ; io_pause_l ; internal_io_l ; ; 15.000 ; 15.000 ; ; ; io_pause_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; io_pause_l ; skip_l ; 33.000 ; 15.000 ; 15.000 ; 33.000 ; ; io_pause_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; io_pause_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md03_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md03_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; md03_l ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; md03_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md03_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md03_l ; skip_l ; 15.000 ; 33.000 ; 33.000 ; 15.000 ; ; md03_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md03_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md04_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md04_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; md04_l ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; md04_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md04_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md04_l ; skip_l ; 15.000 ; 33.000 ; 33.000 ; 15.000 ; ; md04_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md04_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md05_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; c1_l ; 23.000 ; 15.000 ; 15.000 ; 23.000 ; ; md05_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; int_enab ; 27.000 ; 19.000 ; 19.000 ; 27.000 ; ; md05_l ; int_rqst_l ; 32.000 ; 24.000 ; 24.000 ; 32.000 ; ; md05_l ; internal_io_l ; ; 15.000 ; 15.000 ; ; ; md05_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md05_l ; skip_l ; 33.000 ; 15.000 ; 15.000 ; 33.000 ; ; md05_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md05_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md06_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md06_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; md06_l ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; md06_l ; internal_io_l ; 15.000 ; ; ; 15.000 ; ; md06_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md06_l ; skip_l ; 15.000 ; 33.000 ; 33.000 ; 15.000 ; ; md06_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md06_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md07_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; c1_l ; 15.000 ; 23.000 ; 23.000 ; 15.000 ; ; md07_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md07_l ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; md07_l ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; md07_l ; internal_io_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md07_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md07_l ; skip_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md07_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md07_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md08_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; c1_l ; 23.000 ; 15.000 ; 15.000 ; 23.000 ; ; md08_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md08_l ; int_enab ; 27.000 ; 19.000 ; 19.000 ; 27.000 ; ; md08_l ; int_rqst_l ; 32.000 ; 24.000 ; 24.000 ; 32.000 ; ; md08_l ; internal_io_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md08_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md08_l ; skip_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md08_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md08_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; md09_l ; c1_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data04_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data05_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data06_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data07_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data08_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data09_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data10_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; data11_l ; ; 15.000 ; 15.000 ; ; ; md09_l ; int_enab ; 27.000 ; 19.000 ; 19.000 ; 27.000 ; ; md09_l ; int_rqst_l ; 32.000 ; 24.000 ; 24.000 ; 32.000 ; ; md09_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md09_l ; skip_l ; 15.000 ; 24.000 ; 24.000 ; 15.000 ; ; md09_l ; tp_ba1 ; ; 62.000 ; 62.000 ; ; ; md09_l ; tp_bb1 ; ; 19.000 ; 19.000 ; ; ; md10_l ; c0_l ; ; 15.000 ; 15.000 ; ; ; md10_l ; c1_l ; 15.000 ; 15.000 ; 15.000 ; 15.000 ; ; md10_l ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; md10_l ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; md10_l ; skip_l ; 15.000 ; 33.000 ; 33.000 ; 15.000 ; ; md11_l ; c0_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; c1_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data04_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data05_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data06_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data07_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data08_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data09_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data10_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; data11_l ; 15.000 ; ; ; 15.000 ; ; md11_l ; int_enab ; 27.000 ; 19.000 ; 19.000 ; 27.000 ; ; md11_l ; int_rqst_l ; 32.000 ; 24.000 ; 24.000 ; 32.000 ; ; md11_l ; serial_out ; 55.000 ; 55.000 ; 55.000 ; 55.000 ; ; md11_l ; skip_l ; 41.000 ; 15.000 ; 15.000 ; 41.000 ; ; md11_l ; tp_ba1 ; 62.000 ; ; ; 62.000 ; ; md11_l ; tp_bb1 ; 19.000 ; ; ; 19.000 ; ; power_ok ; int_rqst_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; power_ok ; skip_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; power_ok ; tp_ab1 ; 19.000 ; 99.000 ; 99.000 ; 19.000 ; ; serial_in ; int_rqst_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; serial_in ; skip_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; serial_in ; tp_ab1 ; 19.000 ; 99.000 ; 99.000 ; 19.000 ; ; sw1 ; int_rqst_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw1 ; serial_out ; 37.000 ; 37.000 ; 37.000 ; 37.000 ; ; sw1 ; skip_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw1 ; tp_ab1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; sw1 ; tp_ba1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; sw2 ; int_rqst_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw2 ; serial_out ; 37.000 ; 37.000 ; 37.000 ; 37.000 ; ; sw2 ; skip_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw2 ; tp_ab1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; sw2 ; tp_ba1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; sw3 ; int_rqst_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw3 ; serial_out ; 37.000 ; 37.000 ; 37.000 ; 37.000 ; ; sw3 ; skip_l ; 42.000 ; 42.000 ; 42.000 ; 42.000 ; ; sw3 ; tp_ab1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; sw3 ; tp_ba1 ; 28.000 ; 28.000 ; 28.000 ; 28.000 ; ; tp3 ; int_enab ; 19.000 ; 27.000 ; 27.000 ; 19.000 ; ; tp3 ; int_rqst_l ; 24.000 ; 32.000 ; 32.000 ; 24.000 ; ; tp3 ; serial_out ; 46.000 ; 46.000 ; 46.000 ; 46.000 ; ; tp3 ; skip_l ; 33.000 ; 33.000 ; 33.000 ; 33.000 ; ; tp3 ; tp_ba1 ; 53.000 ; ; ; 53.000 ; ; tp3 ; tp_ca1 ; 19.000 ; ; ; 19.000 ; +------------+---------------+--------+---------+---------+--------+ +-------------------------------------------------------------------+ ; Setup Transfers ; +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ ; bd218 ; bd218 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd436 ; 0 ; 0 ; 1 ; 1 ; ; bd436 ; bd436 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd600 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd600 ; 3150 ; 4194 ; 3134 ; 4175 ; ; bd1200 ; bd600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd9600 ; bd600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd230400 ; bd600 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd436 ; bd873 ; 0 ; 0 ; 1 ; 1 ; ; bd873 ; bd873 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd1200 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd1200 ; 3150 ; 4194 ; 3135 ; 4175 ; ; bd1200 ; bd1200 ; 3150 ; 2313 ; 3134 ; 2303 ; ; bd9600 ; bd1200 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd230400 ; bd1200 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd1200 ; bd2400 ; 0 ; 0 ; 1 ; 1 ; ; bd2400 ; bd2400 ; 0 ; 0 ; 0 ; 1 ; ; bd2400 ; bd4800 ; 0 ; 0 ; 1 ; 1 ; ; bd4800 ; bd4800 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd9600 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd9600 ; 3150 ; 4194 ; 3134 ; 4174 ; ; bd1200 ; bd9600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd4800 ; bd9600 ; 0 ; 0 ; 1 ; 1 ; ; bd9600 ; bd9600 ; 3150 ; 2313 ; 3134 ; 2303 ; ; bd230400 ; bd9600 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd873 ; bd19200 ; 0 ; 0 ; 1 ; 1 ; ; bd9600 ; bd19200 ; 0 ; 0 ; 1 ; 1 ; ; bd19200 ; bd19200 ; 0 ; 0 ; 0 ; 2 ; ; bd218 ; bd230400 ; 0 ; 1890 ; 0 ; 1890 ; ; bd600 ; bd230400 ; 3166 ; 4214 ; 3166 ; 4214 ; ; bd1200 ; bd230400 ; 3166 ; 2324 ; 3166 ; 2324 ; ; bd9600 ; bd230400 ; 3166 ; 2324 ; 3166 ; 2324 ; ; bd19200 ; bd230400 ; 0 ; 0 ; 1 ; 1 ; ; bd230400 ; bd230400 ; 18286 ; 18286 ; 18286 ; 18287 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. +-------------------------------------------------------------------+ ; Hold Transfers ; +------------+----------+----------+----------+----------+----------+ ; From Clock ; To Clock ; RR Paths ; FR Paths ; RF Paths ; FF Paths ; +------------+----------+----------+----------+----------+----------+ ; bd218 ; bd218 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd436 ; 0 ; 0 ; 1 ; 1 ; ; bd436 ; bd436 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd600 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd600 ; 3150 ; 4194 ; 3134 ; 4175 ; ; bd1200 ; bd600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd9600 ; bd600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd230400 ; bd600 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd436 ; bd873 ; 0 ; 0 ; 1 ; 1 ; ; bd873 ; bd873 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd1200 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd1200 ; 3150 ; 4194 ; 3135 ; 4175 ; ; bd1200 ; bd1200 ; 3150 ; 2313 ; 3134 ; 2303 ; ; bd9600 ; bd1200 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd230400 ; bd1200 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd1200 ; bd2400 ; 0 ; 0 ; 1 ; 1 ; ; bd2400 ; bd2400 ; 0 ; 0 ; 0 ; 1 ; ; bd2400 ; bd4800 ; 0 ; 0 ; 1 ; 1 ; ; bd4800 ; bd4800 ; 0 ; 0 ; 0 ; 1 ; ; bd218 ; bd9600 ; 0 ; 1881 ; 0 ; 1872 ; ; bd600 ; bd9600 ; 3150 ; 4194 ; 3134 ; 4174 ; ; bd1200 ; bd9600 ; 3150 ; 2313 ; 3134 ; 2302 ; ; bd4800 ; bd9600 ; 0 ; 0 ; 1 ; 1 ; ; bd9600 ; bd9600 ; 3150 ; 2313 ; 3134 ; 2303 ; ; bd230400 ; bd9600 ; 18198 ; 18198 ; 18110 ; 18110 ; ; bd873 ; bd19200 ; 0 ; 0 ; 1 ; 1 ; ; bd9600 ; bd19200 ; 0 ; 0 ; 1 ; 1 ; ; bd19200 ; bd19200 ; 0 ; 0 ; 0 ; 2 ; ; bd218 ; bd230400 ; 0 ; 1890 ; 0 ; 1890 ; ; bd600 ; bd230400 ; 3166 ; 4214 ; 3166 ; 4214 ; ; bd1200 ; bd230400 ; 3166 ; 2324 ; 3166 ; 2324 ; ; bd9600 ; bd230400 ; 3166 ; 2324 ; 3166 ; 2324 ; ; bd19200 ; bd230400 ; 0 ; 0 ; 1 ; 1 ; ; bd230400 ; bd230400 ; 18286 ; 18286 ; 18286 ; 18287 ; +------------+----------+----------+----------+----------+----------+ Entries labeled "false path" only account for clock-to-clock false paths and not path-based false paths. As a result, actual path counts may be lower than reported. --------------- ; Report TCCS ; --------------- No dedicated SERDES Transmitter circuitry present in device or used in design --------------- ; Report RSKM ; --------------- No dedicated SERDES Receiver circuitry present in device or used in design +------------------------------------------------+ ; Unconstrained Paths ; +---------------------------------+-------+------+ ; Property ; Setup ; Hold ; +---------------------------------+-------+------+ ; Illegal Clocks ; 0 ; 0 ; ; Unconstrained Clocks ; 0 ; 0 ; ; Unconstrained Input Ports ; 26 ; 26 ; ; Unconstrained Input Port Paths ; 279 ; 279 ; ; Unconstrained Output Ports ; 19 ; 19 ; ; Unconstrained Output Port Paths ; 264 ; 264 ; +---------------------------------+-------+------+ +------------------------------------+ ; TimeQuest Timing Analyzer Messages ; +------------------------------------+ Info: ******************************************************************* Info: Running Quartus II 64-Bit TimeQuest Timing Analyzer Info: Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition Info: Processing started: Thu Jun 29 18:08:03 2023 Info: Command: quartus_sta M8650 -c M8650 Info: qsta_default_script.tcl version: #1 Warning (20028): Parallel compilation is not licensed and has been disabled Info (306004): Started post-fitting delay annotation Info (306005): Delay annotation completed successfully Warning (335095): TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family. Info (332104): Reading SDC File: 'M8650.sdc' Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "serial_out$latch~10|[4]" Warning (332126): Node "serial_out$latch~10|dataout" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "serial_out_m~10|dataout" Warning (332126): Node "serial_out_m~10|[4]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "start_l~9|dataout" Warning (332126): Node "start_l~9|[2]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "start_l_m~9|dataout" Warning (332126): Node "start_l_m~9|[1]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "tx_data~9|dataout" Warning (332126): Node "tx_data~9|[3]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "tx_data_m~16|dataout" Warning (332126): Node "tx_data_m~16|[2]" Warning (332125): Found combinational loop of 46 nodes Warning (332126): Node "bd1745~9|dataout" Warning (332126): Node "bd1745~9|[2]" Warning (332126): Node "div11~1sexp|datain[0]" Warning (332126): Node "div11~1sexp|dataout" Warning (332126): Node "bd1745~9|[3]" Warning (332126): Node "bd1745_m~9|[3]" Warning (332126): Node "bd1745_m~9|dataout" Warning (332126): Node "bd1745_m~9|[2]" Warning (332126): Node "bd1745~9|[0]" Warning (332126): Node "gdollar_0~9|[3]" Warning (332126): Node "gdollar_0~9|dataout" Warning (332126): Node "bd1745~9|[1]" Warning (332126): Node "gdollar_0~9|[2]" Warning (332126): Node "gdollar_0_m~9|[0]" Warning (332126): Node "gdollar_0_m~9|dataout" Warning (332126): Node "gdollar_0_m~9|[2]" Warning (332126): Node "gdollar_0~9|[0]" Warning (332126): Node "bd1745_m~9|[1]" Warning (332126): Node "gdollar_0_m~9|[3]" Warning (332126): Node "n_t_5x~9|[3]" Warning (332126): Node "n_t_5x~9|dataout" Warning (332126): Node "gdollar_0~9|[1]" Warning (332126): Node "n_t_5x~9|[2]" Warning (332126): Node "div11~1sexp|datain[2]" Warning (332126): Node "div11~4|datain[2]" Warning (332126): Node "div11~4|dataout" Warning (332126): Node "n_t_2x~9|[3]" Warning (332126): Node "n_t_2x~9|dataout" Warning (332126): Node "n_t_5x~9|[1]" Warning (332126): Node "div11~1sexp|datain[1]" Warning (332126): Node "n_t_2x~9|[2]" Warning (332126): Node "div11~4|datain[1]" Warning (332126): Node "n_t_2x_m~9|[0]" Warning (332126): Node "n_t_2x_m~9|dataout" Warning (332126): Node "n_t_2x_m~9|[2]" Warning (332126): Node "n_t_2x~9|[0]" Warning (332126): Node "n_t_5x_m~9|[1]" Warning (332126): Node "n_t_5x_m~9|dataout" Warning (332126): Node "n_t_5x_m~9|[2]" Warning (332126): Node "n_t_5x~9|[0]" Warning (332126): Node "n_t_2x_m~9|[3]" Warning (332126): Node "n_t_5x_m~9|[0]" Warning (332126): Node "gdollar_0_m~9|[1]" Warning (332126): Node "n_t_5x_m~9|[3]" Warning (332126): Node "div11~4|datain[0]" Warning (332126): Node "bd1745_m~9|[0]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "p_pulse_l~9|dataout" Warning (332126): Node "p_pulse_l~9|[2]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "p_pulse_l_m~9|dataout" Warning (332126): Node "p_pulse_l_m~9|[1]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "rflg_l~9|dataout" Warning (332126): Node "rflg_l~9|[2]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "rflg_l_m~9|dataout" Warning (332126): Node "rflg_l_m~9|[2]" Warning (332125): Found combinational loop of 94 nodes Warning (332126): Node "ck_pulse~9|dataout" Warning (332126): Node "n_t_70x~4|[3]" Warning (332126): Node "n_t_70x~4|dataout" Warning (332126): Node "rx_active~10|[1]" Warning (332126): Node "rx_active~10|dataout" Warning (332126): Node "rx_div4_l~9|[3]" Warning (332126): Node "rx_div4_l~9|dataout" Warning (332126): Node "rx_div4_l~9|[2]" Warning (332126): Node "rx_div8~9|[1]" Warning (332126): Node "rx_div8~9|dataout" Warning (332126): Node "spike_det_l~10|[6]" Warning (332126): Node "spike_det_l~10|dataout" Warning (332126): Node "spike_det_l~10|[2]" Warning (332126): Node "n_t_70x~4|[1]" Warning (332126): Node "n_t_91x~1sexp|datain[1]" Warning (332126): Node "n_t_91x~1sexp|dataout" Warning (332126): Node "last_unit~9|[3]" Warning (332126): Node "last_unit~9|dataout" Warning (332126): Node "rx_div4_l~9|[4]" Warning (332126): Node "rx_div4_l_m~9|[4]" Warning (332126): Node "rx_div4_l_m~9|dataout" Warning (332126): Node "rx_div4_l_m~9|[2]" Warning (332126): Node "rx_div4_l~9|[0]" Warning (332126): Node "rx_div2_l~9|[4]" Warning (332126): Node "rx_div2_l~9|dataout" Warning (332126): Node "rx_div4_l~9|[1]" Warning (332126): Node "rx_div2_l~9|[2]" Warning (332126): Node "rx_div2_l_m~9|[0]" Warning (332126): Node "rx_div2_l_m~9|dataout" Warning (332126): Node "rx_div2_l_m~9|[2]" Warning (332126): Node "rx_div2_l~9|[0]" Warning (332126): Node "rx_div4_l_m~9|[1]" Warning (332126): Node "rx_div2_l_m~9|[4]" Warning (332126): Node "rx_last_l~1sexp|datain[1]" Warning (332126): Node "rx_last_l~1sexp|dataout" Warning (332126): Node "rx_div8~9|[3]" Warning (332126): Node "rx_div8_m~9|[3]" Warning (332126): Node "rx_div8_m~9|dataout" Warning (332126): Node "rx_div8_m~9|[2]" Warning (332126): Node "rx_div8~9|[0]" Warning (332126): Node "rx_active~10|[6]" Warning (332126): Node "rx_active_m~13|[6]" Warning (332126): Node "rx_active_m~13|dataout" Warning (332126): Node "rx_active_m~13|[1]" Warning (332126): Node "rx_active~10|[0]" Warning (332126): Node "rx_again_l~2sexp|datain[3]" Warning (332126): Node "rx_again_l~2sexp|dataout" Warning (332126): Node "spike_det_l~10|[3]" Warning (332126): Node "spike_det_l_m~13|[1]" Warning (332126): Node "spike_det_l_m~13|dataout" Warning (332126): Node "spike_det_l_m~13|[0]" Warning (332126): Node "spike_det_l~10|[0]" Warning (332126): Node "n_t_70x~4|[0]" Warning (332126): Node "last_unit~9|[2]" Warning (332126): Node "comb~10|[5]" Warning (332126): Node "comb~10|dataout" Warning (332126): Node "rx_active_m~13|[0]" Warning (332126): Node "last_unit_m~9|[3]" Warning (332126): Node "last_unit_m~9|dataout" Warning (332126): Node "last_unit_m~9|[2]" Warning (332126): Node "last_unit~9|[0]" Warning (332126): Node "ck_pulse~9|[4]" Warning (332126): Node "rx_div8~9|[2]" Warning (332126): Node "rx_div8_m~9|[0]" Warning (332126): Node "rx_active8_l~1sexp|datain[1]" Warning (332126): Node "rx_active8_l~1sexp|dataout" Warning (332126): Node "spike_det_l~10|[4]" Warning (332126): Node "spike_det_l_m~5sexp|datain[1]" Warning (332126): Node "spike_det_l_m~5sexp|dataout" Warning (332126): Node "spike_det_l_m~13|[2]" Warning (332126): Node "n_t_70x~4|[4]" Warning (332126): Node "ck_pulse~9|[5]" Warning (332126): Node "ck_pulse_m~9|[2]" Warning (332126): Node "ck_pulse_m~9|dataout" Warning (332126): Node "ck_pulse_m~9|[1]" Warning (332126): Node "ck_pulse~9|[0]" Warning (332126): Node "rx_div8_m~9|[1]" Warning (332126): Node "rx_div4_l_m~9|[0]" Warning (332126): Node "rx_div4_l_m~9|[3]" Warning (332126): Node "rx_div2_l~9|[3]" Warning (332126): Node "rx_div2_l_m~9|[3]" Warning (332126): Node "rx_active~10|[3]" Warning (332126): Node "rx_active_m~13|[5]" Warning (332126): Node "spike_det_l~10|[5]" Warning (332126): Node "rx_again_l~2sexp|datain[2]" Warning (332126): Node "n_t_91x~1sexp|datain[0]" Warning (332126): Node "ck_pulse~9|[3]" Warning (332126): Node "rx_active8_l~1sexp|datain[0]" Warning (332126): Node "comb~10|[4]" Warning (332126): Node "rx_last_l~1sexp|datain[0]" Warning (332126): Node "comb~10|[1]" Warning (332126): Node "last_unit~9|[1]" Warning (332126): Node "ck_pulse~9|[2]" Warning (332126): Node "last_unit_m~9|[1]" Critical Warning (332081): Design contains combinational loop of 94 nodes. Estimating the delays through the loop. Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "int_enab_l~9|dataout" Warning (332126): Node "int_enab_l~9|[2]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "int_enab_l_m~9|dataout" Warning (332126): Node "int_enab_l_m~9|[1]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "tflg_l~10|dataout" Warning (332126): Node "tflg_l~10|[3]" Warning (332125): Found combinational loop of 2 nodes Warning (332126): Node "tflg_l_m~10|dataout" Warning (332126): Node "tflg_l_m~10|[2]" Warning (332125): Found combinational loop of 140 nodes Warning (332126): Node "n_t_61x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[8]" Warning (332126): Node "n_t_108x~10|dataout" Warning (332126): Node "n_t_108x~8sexp3|datain[0]" Warning (332126): Node "n_t_108x~8sexp3|dataout" Warning (332126): Node "tx_active_l_m~9|[5]" Warning (332126): Node "tx_active_l_m~9|dataout" Warning (332126): Node "tx_active_l_m~9|[1]" Warning (332126): Node "tx_active_l~9|[0]" Warning (332126): Node "tx_active_l~9|dataout" Warning (332126): Node "tx_active_l~9|[2]" Warning (332126): Node "n_t_108x~8sexp3|datain[1]" Warning (332126): Node "tx_shift_l~3|[2]" Warning (332126): Node "tx_shift_l~3|dataout" Warning (332126): Node "n_t_66x~9|[2]" Warning (332126): Node "n_t_66x~9|dataout" Warning (332126): Node "n_t_66x~9|[3]" Warning (332126): Node "n_t_108x~10|datain[1]" Warning (332126): Node "n_t_66x_m~16|[2]" Warning (332126): Node "n_t_66x_m~16|dataout" Warning (332126): Node "n_t_66x_m~16|[3]" Warning (332126): Node "n_t_66x~9|[0]" Warning (332126): Node "n_t_65x~9|[2]" Warning (332126): Node "n_t_65x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[6]" Warning (332126): Node "n_t_65x~9|[3]" Warning (332126): Node "n_t_66x_m~7|[2]" Warning (332126): Node "n_t_66x_m~7|dataout" Warning (332126): Node "n_t_66x_m~16|[0]" Warning (332126): Node "n_t_65x_m~16|[2]" Warning (332126): Node "n_t_65x_m~16|dataout" Warning (332126): Node "n_t_65x_m~16|[3]" Warning (332126): Node "n_t_65x~9|[0]" Warning (332126): Node "n_t_63x~9|[2]" Warning (332126): Node "n_t_63x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[4]" Warning (332126): Node "n_t_63x~9|[3]" Warning (332126): Node "n_t_65x_m~7|[2]" Warning (332126): Node "n_t_65x_m~7|dataout" Warning (332126): Node "n_t_65x_m~16|[0]" Warning (332126): Node "n_t_63x_m~16|[2]" Warning (332126): Node "n_t_63x_m~16|dataout" Warning (332126): Node "n_t_63x_m~16|[3]" Warning (332126): Node "n_t_63x~9|[0]" Warning (332126): Node "n_t_61x~9|[2]" Warning (332126): Node "n_t_61x_m~16|[2]" Warning (332126): Node "n_t_61x_m~16|dataout" Warning (332126): Node "n_t_61x_m~16|[3]" Warning (332126): Node "n_t_61x~9|[0]" Warning (332126): Node "n_t_56x~9|[2]" Warning (332126): Node "n_t_56x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[2]" Warning (332126): Node "n_t_56x~9|[3]" Warning (332126): Node "n_t_61x_m~7|[2]" Warning (332126): Node "n_t_61x_m~7|dataout" Warning (332126): Node "n_t_61x_m~16|[0]" Warning (332126): Node "n_t_56x_m~16|[2]" Warning (332126): Node "n_t_56x_m~16|dataout" Warning (332126): Node "n_t_56x_m~16|[3]" Warning (332126): Node "n_t_56x~9|[0]" Warning (332126): Node "n_t_62x~9|[2]" Warning (332126): Node "n_t_62x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[7]" Warning (332126): Node "n_t_62x~9|[3]" Warning (332126): Node "n_t_56x_m~7|[2]" Warning (332126): Node "n_t_56x_m~7|dataout" Warning (332126): Node "n_t_56x_m~16|[0]" Warning (332126): Node "n_t_62x_m~16|[2]" Warning (332126): Node "n_t_62x_m~16|dataout" Warning (332126): Node "n_t_62x_m~16|[3]" Warning (332126): Node "n_t_62x~9|[0]" Warning (332126): Node "n_t_60x~9|[2]" Warning (332126): Node "n_t_60x~9|dataout" Warning (332126): Node "n_t_108x~10|datain[5]" Warning (332126): Node "n_t_60x~9|[3]" Warning (332126): Node "n_t_62x_m~7|[2]" Warning (332126): Node "n_t_62x_m~7|dataout" Warning (332126): Node "n_t_62x_m~16|[0]" Warning (332126): Node "n_t_60x_m~16|[2]" Warning (332126): Node "n_t_60x_m~16|dataout" Warning (332126): Node "n_t_60x_m~16|[3]" Warning (332126): Node "n_t_60x~9|[0]" Warning (332126): Node "enab~9|[2]" Warning (332126): Node "enab~9|dataout" Warning (332126): Node "n_t_108x~10|datain[3]" Warning (332126): Node "n_t_60x_m~7|[2]" Warning (332126): Node "n_t_60x_m~7|dataout" Warning (332126): Node "n_t_60x_m~16|[0]" Warning (332126): Node "n_t_108x~8sexp2|datain[1]" Warning (332126): Node "n_t_108x~8sexp2|dataout" Warning (332126): Node "tx_active_l_m~9|[4]" Warning (332126): Node "enab~9|[3]" Warning (332126): Node "n_t_108x~8sexp1|datain[3]" Warning (332126): Node "n_t_108x~8sexp1|dataout" Warning (332126): Node "tx_active_l_m~9|[3]" Warning (332126): Node "enab_m~9|[2]" Warning (332126): Node "enab_m~9|dataout" Warning (332126): Node "enab_m~9|[3]" Warning (332126): Node "enab~9|[0]" Warning (332126): Node "stp1_m~9|[0]" Warning (332126): Node "stp1_m~9|dataout" Warning (332126): Node "stp1_m~9|[3]" Warning (332126): Node "stp1~9|[0]" Warning (332126): Node "stp1~9|dataout" Warning (332126): Node "n_t_108x~8sexp2|datain[2]" Warning (332126): Node "stp1~9|[3]" Warning (332126): Node "gdollar_2_m~9|[0]" Warning (332126): Node "gdollar_2_m~9|dataout" Warning (332126): Node "gdollar_2_m~9|[3]" Warning (332126): Node "gdollar_2~9|[0]" Warning (332126): Node "gdollar_2~9|dataout" Warning (332126): Node "gdollar_2~9|[3]" Warning (332126): Node "stp2_m~9|[0]" Warning (332126): Node "stp2_m~9|dataout" Warning (332126): Node "stp2_m~9|[3]" Warning (332126): Node "stp2~9|[0]" Warning (332126): Node "stp2~9|dataout" Warning (332126): Node "stp2~9|[3]" Warning (332126): Node "n_t_108x~8sexp1|datain[0]" Warning (332126): Node "tx_shift_l~4sexp|datain[0]" Warning (332126): Node "tx_shift_l~4sexp|dataout" Warning (332126): Node "tx_div_m~9|[3]" Warning (332126): Node "tx_div_m~9|dataout" Warning (332126): Node "tx_div_m~9|[1]" Warning (332126): Node "tx_div~9|[0]" Warning (332126): Node "tx_div~9|dataout" Warning (332126): Node "tx_div~9|[2]" Warning (332126): Node "tx_shift_l~4sexp|datain[1]" Warning (332126): Node "n_t_108x~10|datain[0]" Warning (332126): Node "tx_shift_l~3|[3]" Warning (332126): Node "stp2~9|[1]" Warning (332126): Node "stp2_m~9|[1]" Warning (332126): Node "gdollar_2~9|[1]" Warning (332126): Node "gdollar_2_m~9|[1]" Warning (332126): Node "stp1~9|[1]" Warning (332126): Node "stp1_m~9|[1]" Warning (332126): Node "n_t_61x~9|[3]" Warning (332126): Node "n_t_63x_m~7|[2]" Warning (332126): Node "n_t_63x_m~7|dataout" Warning (332126): Node "n_t_63x_m~16|[0]" Critical Warning (332081): Design contains combinational loop of 140 nodes. Estimating the delays through the loop. Warning (332125): Found combinational loop of 30 nodes Warning (332126): Node "bd115200~9|dataout" Warning (332126): Node "bd57600~9|[1]" Warning (332126): Node "bd57600~9|dataout" Warning (332126): Node "bd38400~9|[1]" Warning (332126): Node "bd38400~9|dataout" Warning (332126): Node "bd38400~9|[2]" Warning (332126): Node "n_t_3x~0sexp|datain[0]" Warning (332126): Node "n_t_3x~0sexp|dataout" Warning (332126): Node "bd38400~9|[3]" Warning (332126): Node "bd57600~9|[3]" Warning (332126): Node "bd57600_m~9|[3]" Warning (332126): Node "bd57600_m~9|dataout" Warning (332126): Node "bd57600_m~9|[2]" Warning (332126): Node "bd57600~9|[0]" Warning (332126): Node "bd115200~9|[3]" Warning (332126): Node "bd115200_m~9|[3]" Warning (332126): Node "bd115200_m~9|dataout" Warning (332126): Node "bd115200_m~9|[2]" Warning (332126): Node "bd115200~9|[0]" Warning (332126): Node "bd38400_m~9|[2]" Warning (332126): Node "bd38400_m~9|dataout" Warning (332126): Node "bd38400_m~9|[0]" Warning (332126): Node "bd38400~9|[0]" Warning (332126): Node "bd38400_m~9|[1]" Warning (332126): Node "bd57600~9|[2]" Warning (332126): Node "bd57600_m~9|[0]" Warning (332126): Node "n_t_3x~0sexp|datain[1]" Warning (332126): Node "bd115200~9|[2]" Warning (332126): Node "bd115200_m~9|[0]" Warning (332126): Node "bd57600_m~9|[1]" Info (332097): The following timing edges are non-unate. TimeQuest will assume pos-unate behavior for these edges in the clock network. Info (332098): From: bd115200_m~9|[1] to: bd38400~9|dataout Info (332098): From: bd115200~9|[1] to: bd38400~9|dataout Info (332098): From: n_t_2x_m~9|[1] to: bd1745~9|dataout Info (332098): From: n_t_2x~9|[1] to: bd1745~9|dataout Info: Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = OFF Critical Warning (332148): Timing requirements not met Info (332146): Worst-case setup slack is -297.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -297.000 -2649.000 bd9600 Info (332119): -296.000 -2648.500 bd600 Info (332119): -296.000 -2640.000 bd1200 Info (332119): -278.500 -2469.500 bd230400 Info (332119): -12.000 -12.000 bd218 Info (332119): -3.500 -3.500 bd19200 Info (332119): -3.500 -3.500 bd2400 Info (332119): -3.500 -3.500 bd436 Info (332119): -3.500 -3.500 bd4800 Info (332119): -3.500 -3.500 bd873 Info (332146): Worst-case hold slack is -173.000 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -173.000 -1511.000 bd230400 Info (332119): -110.000 -884.000 bd1200 Info (332119): -110.000 -880.000 bd600 Info (332119): -109.000 -876.000 bd9600 Info (332119): -84.000 -88.000 bd19200 Info (332119): -4.000 -4.000 bd2400 Info (332119): -4.000 -4.000 bd436 Info (332119): -4.000 -4.000 bd4800 Info (332119): -4.000 -4.000 bd873 Info (332119): 5.000 0.000 bd218 Info (332140): No Recovery paths to report Info (332140): No Removal paths to report Info (332146): Worst-case minimum pulse width slack is -173.500 Info (332119): Slack End Point TNS Clock Info (332119): ========= ============= ===================== Info (332119): -173.500 -10403.000 bd230400 Info (332119): -111.500 -7664.000 bd1200 Info (332119): -111.500 -7664.000 bd600 Info (332119): -111.500 -7664.000 bd9600 Info (332119): -59.500 -344.000 bd19200 Info (332119): -5.500 -11.000 bd218 Info (332119): -5.500 -11.000 bd2400 Info (332119): -5.500 -11.000 bd436 Info (332119): -5.500 -11.000 bd4800 Info (332119): -5.500 -11.000 bd873 Info (332001): The selected device family is not supported by the report_metastability command. Info (332102): Design is not fully constrained for setup requirements Info (332102): Design is not fully constrained for hold requirements Info: Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 361 warnings Info: Peak virtual memory: 476 megabytes Info: Processing ended: Thu Jun 29 18:08:06 2023 Info: Elapsed time: 00:00:03 Info: Total CPU time (on all processors): 00:00:03