JEDEC file for: ATF1508AS Created on: Sat May 26 19:58:08 2018 * QF74136* QP100 * F0* NOTE 0 0 0 0 0 * L0 1111111111111111 1111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 5 of MC 16 * L96 1111111111111111 1110111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 16 * L192 1111111111111011 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 16 * L288 1111111111111111 1111111111111111111111111110111111111111 1111111110111111111111111111111111111111* NOTE PT 2 of MC 16 * L384 1111111111111111 1110111111111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 16 * L480 1111111111111111 1111111111011111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 1 of MC 15 * L576 1111111111111111 1111111011111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 15 * L672 1111111111111111 0111111110011011111111011111111111111010 1111111011111101111111111111110111111101* NOTE PT 3 of MC 15 * L768 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 4 of MC 15 * L864 1111111111111111 1111111111011111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 15 * L960 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111111111111111111111* NOTE PT 5 of MC 14 * L1152 1111111111111111 0111111101011011111111011111111111111010 1111111011111101111111111111110111111101* NOTE PT 3 of MC 14 * L1248 1111111111111111 1111111111111101111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 14 * L1344 1111111111111111 1111111111111111111111111111111111111111 1111101111111111111111101111111111111111* NOTE PT 1 of MC 14 * L1440 1111111111111111 0111111101111011111111011111111111111010 1111111011111101111111111111111011011101* NOTE PT 1 of MC 13 * L1824 1111111111111111 0111111101111011111111011111111111111010 1111111011111101111111111111111011011101* NOTE PT 5 of MC 13 * L2016 1111111111111111 1110111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 12 * L2112 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 3 of MC 12 * L2208 1111111111111111 1111111111111111111101111111111111111111 1111111110111111111111111111111111111111* NOTE PT 2 of MC 12 * L2304 1111111111111111 1110111111111111111101111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 12 * L2400 1111111111111111 1011111101111011111111011111111111111010 1111111011111101111111111111110111111101* NOTE PT 1 of MC 11 * L2496 1111111111111111 0111111110111011111111011111111111111010 1111111011111101111111111111111011111101* NOTE PT 2 of MC 11 * L2976 1111111111111111 1110111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 10 * L3072 1111111111111111 1111111111111111111111111111111111111111 1111011111111111111111111111111111111111* NOTE PT 3 of MC 10 * L3264 1111111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 10 * L3360 1111111111111111 1111111111111011111111011111111111111010 1111111011111101111111111111111111111101* NOTE PT 1 of MC 9 * L3744 1111111111111111 1111111111111011111111011111111111111010 1111111011111101111111111111111111111101* NOTE PT 5 of MC 9 * L4224 1111111111111111 1011111110011011111111011111111111111010 1111111011111101111111111111110111111101* NOTE PT 1 of MC 8 * L4320 1111111111111111 1111111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 7 * L4416 1111111111111111 1111111111011111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 2 of MC 7 * L5184 1111111111111111 0111111110011011111111011111111111111010 1111111011111101111111111111111011111101* NOTE PT 1 of MC 6 * L5280 1111111111111111 1011111101011011111111011111111111111010 1111111011111101111111111111110111111101* NOTE PT 1 of MC 5 * L6240 1111111111111111 1011111110111011111111011111111111111010 1111111011111101111111111111111011111101* NOTE PT 1 of MC 3 * L7200 1111111111111111 1011111101111011111111011111111111111010 1111111011111101111111111111111011111101* NOTE PT 1 of MC 1 * L7776 1111111111111111 1111111111111111111111011101111111111111 1111100111101111111111111111111111111111* NOTE PT 4 of MC 32 * L7872 1111111111111111 1111111111111111111111111111111111111111 1111111101111111111111111111111111111111* NOTE PT 3 of MC 32 * L8640 1111111111111111 1111111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 30 * L8736 1111111111111111 1110111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 30 * L8832 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 30 * L8928 1111111111111111 1111110111111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 30 * L9024 1111111111111111 1110110111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 30 * L9120 1111111111111111 1110111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 29 * L9216 1111111111111111 1111111111111011111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 29 * L9312 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 29 * L9408 1111111111111111 1110111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 29 * L9504 1111111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 29 * L10080 1111111111111111 1111111111111111111111111111111101111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 27 * L10272 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 27 * L10368 1111111111111111 1110111111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 27 * L10464 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111101* NOTE PT 5 of MC 27 * L11040 1111111111111111 0111111111111011111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 25 * L11136 1111111111111111 0110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 25 * L11808 1111111111111111 1110111111111111111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 24 * L11904 1111111111111111 1111111111111011111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 24 * L12480 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 22 * L12864 1111111111111111 1111111111111111111011111111111111111111 1110111111111111111111111111111111111111* NOTE PT 1 of MC 22 * L12960 1111111111111111 1101111111111111111111111111111111011111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 21 * L13344 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111011111111111111111* NOTE PT 5 of MC 21 * L13920 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111101111111111111* NOTE PT 1 of MC 19 * L14304 1111111111111111 1111111111111111111111111111111111111111 1111111111111101111111111101111111111111* NOTE PT 5 of MC 19 * L14880 1111111111111111 1111111111111111111111111111111111111111 1111101111101111111111111111111111111111* NOTE PT 1 of MC 17 * L16320 1111111111111111 1111111111111111111111111111111111101111 1111111111111111111111111111111111111101* NOTE PT 5 of MC 46 * L16704 1111111111111111 1111111111111111111111111111111111101111 1111111111111111111111111111111111111101* NOTE PT 1 of MC 46 * L16800 1111111111111111 1111111111111111111111111101111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 45 * L17184 1111111111111111 1111111111111111111111111101111111101111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 45 * L17760 1111111111111111 1101111111111111111111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 43 * L18144 1111111111111111 1101111111111111111111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 43 * L18720 1111111111111111 1111111111111111110111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 41 * L19104 1111111111111111 1111111111111111110111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 41 * L19200 1111111111111111 1111111111111111111111111111111111101101 1111111111111111111111111111111111111111* NOTE PT 5 of MC 40 * L19584 1111111111111111 1111111111111111111111111111111111101101 1111111111111111111111111111111111111111* NOTE PT 1 of MC 40 * L20160 1111111111111111 1111111111111101111111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 38 * L20544 1111111111111111 1111111111111101111111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 38 * L20640 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 37 * L21024 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 37 * L21600 1111111111111111 1111111111011111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 35 * L22560 1111111111111111 1111111111111111111011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 33 * L23040 1111111111111111 1111111111111111111011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 64 * L23424 1111111111111111 1111111111111111111011111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 64 * L24000 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 62 * L24384 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 62 * L24480 1111111111111111 1111111111111111110111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 1 of MC 61 * NOTE macrocell configurations 0 0 0 0 * L61440 1111111111111111* L61456 11001100111111111111111111100011* NOTE S16,S12 of block A * L61488 10001011101110111101111100110011* NOTE S14,S11 of block A * L61520 1111111111111111* L61536 11001100111100111100110011110011* NOTE S16,S12 of block B * L61568 10001001000100011000100100110001* NOTE S14,S11 of block B * L61600 1111111111111111* L61616 11001100111100111100110011110011* NOTE S16,S12 of block C * L61648 10001111001100111100111100010001* NOTE S14,S11 of block C * L61680 1111111111111111* L61696 11001100111100111100110011110011* NOTE S16,S12 of block D * L61728 11001101000100011000100100010001* NOTE S14,S11 of block D * L61760 1111111111111111* L61776 00000000000000000000000000010000* NOTE S9 ,S6 of block A * L61808 00000000000000000000000000000000* NOTE S13,S10 of block A * L61840 1111111111111111* L61856 00000000000000000000000000000010* NOTE S9 ,S6 of block B * L61888 00000000000000000000000000000000* NOTE S13,S10 of block B * L61920 1111111111111111* L61936 00000000000000000000000000000010* NOTE S9 ,S6 of block C * L61968 00000000000000000000000000000000* NOTE S13,S10 of block C * L62000 1111111111111111* L62016 01000100011000100100010000000000* NOTE S9 ,S6 of block D * L62048 00000000000000000000000000000000* NOTE S13,S10 of block D * L62080 1111111111111111* L62096 01100110011001101100010011110101* NOTE S20,S18 of block A * L62128 00000000000000000000000001000100* NOTE S8 ,S21 of block A * L62160 1111111111111111* L62176 01101110111101100110101010010100* NOTE S20,S18 of block B * L62208 00100000010001000010000001000100* NOTE S8 ,S21 of block B * L62240 1111111111111111* L62256 01100110111101111110111011110110* NOTE S20,S18 of block C * L62288 01100000010001000010000001000100* NOTE S8 ,S21 of block C * L62320 1111111111111111* L62336 01100110011001100110011001110111* NOTE S20,S18 of block D * L62368 00100000011001100110011001100110* NOTE S8 ,S21 of block D * L62400 1111111111111111* L62416 00000000000000000000000000000000* NOTE S7 ,S19 of block A * L62448 11111111111111111111111111111111* NOTE S22,S5 of block A * L62480 1111111111111111* L62496 00000000000000000000000000000000* NOTE S7 ,S19 of block B * L62528 11111111111111111111111111111111* NOTE S22,S5 of block B * L62560 1111111111111111* L62576 00000000000000000000000000000000* NOTE S7 ,S19 of block C * L62608 11111111111111111111111111111111* NOTE S22,S5 of block C * L62640 1111111111111111* L62656 00000000000000000000000000000000* NOTE S7 ,S19 of block D * L62688 11111111111111111111111111111111* NOTE S22,S5 of block D * L62720 1111111111111111* L62736 11101110111111111111111111111111* NOTE S23,S4 of block A * L62768 01111111111111111111111111111111* NOTE S3 ,S15 of block A * L62800 1111111111111111* L62816 11101110111101111110111011110111* NOTE S23,S4 of block B * L62848 11110110111011111111111111111111* NOTE S3 ,S15 of block B * L62880 1111111111111111* L62896 11101110111101111110111011110111* NOTE S23,S4 of block C * L62928 11111111111111111111111111111111* NOTE S3 ,S15 of block C * L62960 1111111111111111* L62976 11101110111101111110111011110111* NOTE S23,S4 of block D * L63008 11111111111111111111111111111111* NOTE S3 ,S15 of block D * L63040 1111111111111111* L63056 11001100111111111111111111111111* NOTE S0 ,S1 of block A * L63088 01100110011101101110111110111011* NOTE S17,S2 of block A * L63120 1111111111111111* L63136 11001100111100111100110011110000* NOTE S0 ,S1 of block B * L63168 00010110101010111101011010101011* NOTE S17,S2 of block B * L63200 1111111111111111* L63216 11001100111100111100110011110000* NOTE S0 ,S1 of block C * L63248 00010110101010100101011010111011* NOTE S17,S2 of block C * L63280 1111111111111111* L63296 00000000000000000000110011110011* NOTE S0 ,S1 of block D * L63328 01010111101110000001000010001000* NOTE S17,S2 of block D * L63360 1111111111111111* L63376 00000000000000000000000000000000* NOTE S16,S12 of block H * L63408 00000000000000000000000000000000* NOTE S14,S11 of block H * L63440 1111111111111111* L63456 00000000000000000000000000000011* NOTE S16,S12 of block G * L63488 10000000000000000000000000000000* NOTE S14,S11 of block G * L63520 1111111111111111* L63536 11001100111100000000000000000011* NOTE S16,S12 of block F * L63568 10000000000000000000100100010001* NOTE S14,S11 of block F * L63600 1111111111111111* L63616 11001100111100111100110011110011* NOTE S16,S12 of block E * L63648 10001001000100011000100100010001* NOTE S14,S11 of block E * L63680 1111111111111111* L63696 00000000000000000000000000000000* NOTE S9 ,S6 of block H * L63728 00000000000000000000000000000000* NOTE S13,S10 of block H * L63760 1111111111111111* L63776 00000000000000000000000000000010* NOTE S9 ,S6 of block G * L63808 00000000000000000000000000000000* NOTE S13,S10 of block G * L63840 1111111111111111* L63856 01000100011000000000000000000010* NOTE S9 ,S6 of block F * L63888 00000000000000000000000000000000* NOTE S13,S10 of block F * L63920 1111111111111111* L63936 01000100011000100100010001100010* NOTE S9 ,S6 of block E * L63968 00000000000000000000000000000000* NOTE S13,S10 of block E * L64000 1111111111111111* L64016 01100110011001100110011001100110* NOTE S20,S18 of block H * L64048 01100110011001100110011001100110* NOTE S8 ,S21 of block H * L64080 1111111111111111* L64096 01100110011001100110011001100110* NOTE S20,S18 of block G * L64128 01100110011001100110011001100110* NOTE S8 ,S21 of block G * L64160 1111111111111111* L64176 01100110011001100110011001100110* NOTE S20,S18 of block F * L64208 01100110011001100110011001100110* NOTE S8 ,S21 of block F * L64240 1111111111111111* L64256 01100110011001100110011001100110* NOTE S20,S18 of block E * L64288 01100110011001100110011001100110* NOTE S8 ,S21 of block E * L64320 1111111111111111* L64336 00000000000000000000000000000000* NOTE S7 ,S19 of block H * L64368 11111111111111111111111111111111* NOTE S22,S5 of block H * L64400 1111111111111111* L64416 00000000000000000000000000000000* NOTE S7 ,S19 of block G * L64448 11111111111111111111111111111111* NOTE S22,S5 of block G * L64480 1111111111111111* L64496 00000000000000000000000000000000* NOTE S7 ,S19 of block F * L64528 11111111111111111111111111111111* NOTE S22,S5 of block F * L64560 1111111111111111* L64576 00000000000000000000000000000000* NOTE S7 ,S19 of block E * L64608 11111111111111111111111111111111* NOTE S22,S5 of block E * L64640 1111111111111111* L64656 01100110011001100110011001100110* NOTE S23,S4 of block H * L64688 11111111111111111111111111111111* NOTE S3 ,S15 of block H * L64720 1111111111111111* L64736 01100110011001100110011001100111* NOTE S23,S4 of block G * L64768 11111111111111111111111111111111* NOTE S3 ,S15 of block G * L64800 1111111111111111* L64816 11101110111101100110011001100111* NOTE S23,S4 of block F * L64848 11111111111111111111111111111111* NOTE S3 ,S15 of block F * L64880 1111111111111111* L64896 11101110111101111110111011110111* NOTE S23,S4 of block E * L64928 11111111111111111111111111111111* NOTE S3 ,S15 of block E * L64960 1111111111111111* L64976 00000000000000000000000000000000* NOTE S0 ,S1 of block H * L65008 10011001100110011001100110011001* NOTE S17,S2 of block H * L65040 1111111111111111* L65056 00000000000000000000000000000000* NOTE S0 ,S1 of block G * L65088 00011001100110011001100110011001* NOTE S17,S2 of block G * L65120 1111111111111111* L65136 00000000000000000000000000000000* NOTE S0 ,S1 of block F * L65168 00011001100110011001000010001000* NOTE S17,S2 of block F * L65200 1111111111111111* L65216 00000000000000000000000000000000* NOTE S0 ,S1 of block E * L65248 00010000100010000001000010001000* NOTE S17,S2 of block E * NOTE UIM for block A and H * NOTE 0 0 0* L65280 111111111101111111111111111* NOTE Mux-0 of block A* L65307 111111111111111111111111111* NOTE Mux-1 of block H* L65334 111111111111111111111111111* NOTE Mux-0 of block H* L65361 111011111111111111111111111* NOTE Mux-1 of block A* L65388 111111111111111111111111111* NOTE Mux-2 of block A* L65415 111111111111111111111111111* NOTE Mux-3 of block H* L65442 111111111111111111111111111* NOTE Mux-2 of block H* L65469 111111111111111101111111111* NOTE Mux-3 of block A* L65496 111111111111011111111111111* NOTE Mux-4 of block A* L65523 111111111111111111111111111* NOTE Mux-5 of block H* L65550 111111111111111111111111111* NOTE Mux-4 of block H* L65577 111111111111111111111011111* NOTE Mux-5 of block A* L65604 111111111101111111111111111* NOTE Mux-6 of block A* L65631 111111111111111111111111111* NOTE Mux-7 of block H* L65658 111111111111111111111111111* NOTE Mux-6 of block H* L65685 111111111101111111111111111* NOTE Mux-7 of block A* L65712 111111111111111111111101111* NOTE Mux-8 of block A* L65739 111111111111111111111111111* NOTE Mux-9 of block H* L65766 111111111111111111111111111* NOTE Mux-8 of block H* L65793 111111110111111111111111111* NOTE Mux-9 of block A* L65820 111111111111111111111111110* NOTE Mux-10 of block A* L65847 111111111111111111111111111* NOTE Mux-11 of block H* L65874 111111111111111111111111111* NOTE Mux-10 of block H* L65901 111111111111111111111111011* NOTE Mux-11 of block A* L65928 111111111111111111111111111* NOTE Mux-12 of block A* L65955 111111111111111111111111111* NOTE Mux-13 of block H* L65982 111111111111111111111111111* NOTE Mux-12 of block H* L66009 111111111111111111111101111* NOTE Mux-13 of block A* L66036 111111111111111111111111111* NOTE Mux-14 of block A* L66063 111111111111111111111111111* NOTE Mux-15 of block H* L66090 111111111111111111111111111* NOTE Mux-14 of block H* L66117 111111111111111111111111111* NOTE Mux-15 of block A* L66144 111111111111111111111111111* NOTE Mux-16 of block A* L66171 111111111111111111111111111* NOTE Mux-17 of block H* L66198 111111111111111111111111111* NOTE Mux-16 of block H* L66225 111111111111111111111111111* NOTE Mux-17 of block A* L66252 111111111111111111111101111* NOTE Mux-18 of block A* L66279 111111111111111111111111111* NOTE Mux-19 of block H* L66306 111111111111111111111111111* NOTE Mux-18 of block H* L66333 111111111111011111111111111* NOTE Mux-19 of block A* L66360 111111111111111111111111111* NOTE Mux-20 of block A* L66387 111111111111111111111111111* NOTE Mux-21 of block H* L66414 111111111111111111111111111* NOTE Mux-20 of block H* L66441 111111111111111011111111111* NOTE Mux-21 of block A* L66468 111111011111111111111111111* NOTE Mux-22 of block A* L66495 111111111111111111111111111* NOTE Mux-23 of block H* L66522 111111111111111111111111111* NOTE Mux-22 of block H* L66549 111111111110111111111111111* NOTE Mux-23 of block A* L66576 111111111111111111011111111* NOTE Mux-24 of block A* L66603 111111111111111111111111111* NOTE Mux-25 of block H* L66630 111111111111111111111111111* NOTE Mux-24 of block H* L66657 111111111111111011111111111* NOTE Mux-25 of block A* L66684 111111111111111111111111111* NOTE Mux-26 of block A* L66711 111111111111111111111111111* NOTE Mux-27 of block H* L66738 111111111111111111111111111* NOTE Mux-26 of block H* L66765 111111111111011111111111111* NOTE Mux-27 of block A* L66792 111111111111111111111111111* NOTE Mux-28 of block A* L66819 111111111111111111111111111* NOTE Mux-29 of block H* L66846 111111111111111111111111111* NOTE Mux-28 of block H* L66873 111111111111111111111111111* NOTE Mux-29 of block A* L66900 111111111111111111111111111* NOTE Mux-30 of block A* L66927 111111111111111111111111111* NOTE Mux-31 of block H* L66954 111111111111111111111111111* NOTE Mux-30 of block H* L66981 111111111011111111111111111* NOTE Mux-31 of block A* L67008 111111111111111111111111111* NOTE Mux-32 of block A* L67035 111111111111111111111111111* NOTE Mux-33 of block H* L67062 111111111111111111111111111* NOTE Mux-32 of block H* L67089 111111111111111111111111111* NOTE Mux-33 of block A* L67116 111111111111111111111111111* NOTE Mux-34 of block A* L67143 111111111111111111111111111* NOTE Mux-35 of block H* L67170 111111111111111111111111111* NOTE Mux-34 of block H* L67197 111111111101111111111111111* NOTE Mux-35 of block A* L67224 111111111111111111111111111* NOTE Mux-36 of block A* L67251 111111111111111111111111111* NOTE Mux-37 of block H* L67278 111111111111111111111111111* NOTE Mux-36 of block H* L67305 111111011111111111111111111* NOTE Mux-37 of block A* L67332 111111111111111111111111111* NOTE Mux-38 of block A* L67359 111111111111111111111111111* NOTE Mux-39 of block H* L67386 111111111111111111111111111* NOTE Mux-38 of block H* L67413 111111111111111111111110111* NOTE Mux-39 of block A* NOTE UIM for block B and G * NOTE 0 0 0* L67440 111111111111011111111111111* NOTE Mux-0 of block B* L67467 111111111111111111111111111* NOTE Mux-1 of block G* L67494 111111111111111111111111111* NOTE Mux-0 of block G* L67521 111011111111111111111111111* NOTE Mux-1 of block B* L67548 111111111111111111111111111* NOTE Mux-2 of block B* L67575 111111111111111111111111111* NOTE Mux-3 of block G* L67602 111111111111111111111111111* NOTE Mux-2 of block G* L67629 111111111111111111111011111* NOTE Mux-3 of block B* L67656 111111111111111111111111111* NOTE Mux-4 of block B* L67683 111111111111111111111111111* NOTE Mux-5 of block G* L67710 111111111111111111111111111* NOTE Mux-4 of block G* L67737 111111011111111111111111111* NOTE Mux-5 of block B* L67764 111111111111111111011111111* NOTE Mux-6 of block B* L67791 111111111111111111111111111* NOTE Mux-7 of block G* L67818 111111111111111111111111111* NOTE Mux-6 of block G* L67845 111111101111111111111111111* NOTE Mux-7 of block B* L67872 111111011111111111111111111* NOTE Mux-8 of block B* L67899 111111111111111111111111111* NOTE Mux-9 of block G* L67926 111111111111111111111111111* NOTE Mux-8 of block G* L67953 111110111111111111111111111* NOTE Mux-9 of block B* L67980 111111111111111111111111111* NOTE Mux-10 of block B* L68007 111111111111111111111111111* NOTE Mux-11 of block G* L68034 111111111111111111111111111* NOTE Mux-10 of block G* L68061 111111111111111111111111110* NOTE Mux-11 of block B* L68088 111111111111111111111111111* NOTE Mux-12 of block B* L68115 111111111111111111111111111* NOTE Mux-13 of block G* L68142 111111111111111111111111111* NOTE Mux-12 of block G* L68169 111111111111110111111111111* NOTE Mux-13 of block B* L68196 111111111111011111111111111* NOTE Mux-14 of block B* L68223 111111111111111111111111111* NOTE Mux-15 of block G* L68250 111111111111111111111111111* NOTE Mux-14 of block G* L68277 111111111111111111111011111* NOTE Mux-15 of block B* L68304 111111111111110111111111111* NOTE Mux-16 of block B* L68331 111111111111111111111111111* NOTE Mux-17 of block G* L68358 111111111111111111111111111* NOTE Mux-16 of block G* L68385 111101111111111111111111111* NOTE Mux-17 of block B* L68412 111111111111111111111111111* NOTE Mux-18 of block B* L68439 111111111111111111111111111* NOTE Mux-19 of block G* L68466 111111111111111111111111111* NOTE Mux-18 of block G* L68493 111111111111111111111111111* NOTE Mux-19 of block B* L68520 111111111111111111111111101* NOTE Mux-20 of block B* L68547 111111111111111111111111111* NOTE Mux-21 of block G* L68574 111111111111111111111111111* NOTE Mux-20 of block G* L68601 111101111111111111111111111* NOTE Mux-21 of block B* L68628 111111111111111111111011111* NOTE Mux-22 of block B* L68655 111111111111111111111111111* NOTE Mux-23 of block G* L68682 111111111111111111111111111* NOTE Mux-22 of block G* L68709 111111111111111111111110111* NOTE Mux-23 of block B* L68736 101111111111111111111111111* NOTE Mux-24 of block B* L68763 111111111111111111111111111* NOTE Mux-25 of block G* L68790 111111111111111111111111111* NOTE Mux-24 of block G* L68817 111111111110111111111111111* NOTE Mux-25 of block B* L68844 111111111111111111111111111* NOTE Mux-26 of block B* L68871 111111111111111111111111111* NOTE Mux-27 of block G* L68898 111111111111111111111111111* NOTE Mux-26 of block G* L68925 111011111111111111111111111* NOTE Mux-27 of block B* L68952 111111111111111111111111111* NOTE Mux-28 of block B* L68979 111111111111111111111111111* NOTE Mux-29 of block G* L69006 111111111111111111111111111* NOTE Mux-28 of block G* L69033 111111111111111111111111111* NOTE Mux-29 of block B* L69060 111111111111111111111111111* NOTE Mux-30 of block B* L69087 111111111111111111111111111* NOTE Mux-31 of block G* L69114 111111111111111111111111111* NOTE Mux-30 of block G* L69141 111111101111111111111111111* NOTE Mux-31 of block B* L69168 111111111111111111111111111* NOTE Mux-32 of block B* L69195 111111111111111111111111111* NOTE Mux-33 of block G* L69222 111111111111111111111111111* NOTE Mux-32 of block G* L69249 111111011111111111111111111* NOTE Mux-33 of block B* L69276 111111111111111111111111111* NOTE Mux-34 of block B* L69303 111111111111111111111111111* NOTE Mux-35 of block G* L69330 111111111111111111111111111* NOTE Mux-34 of block G* L69357 111111111111111111111111111* NOTE Mux-35 of block B* L69384 111111111111111111111111111* NOTE Mux-36 of block B* L69411 111111111111111111111111111* NOTE Mux-37 of block G* L69438 111111111111111111111111111* NOTE Mux-36 of block G* L69465 111111111111111111111111111* NOTE Mux-37 of block B* L69492 111111111111111111111111111* NOTE Mux-38 of block B* L69519 111111111111111111111111111* NOTE Mux-39 of block G* L69546 111111111111111111111111111* NOTE Mux-38 of block G* L69573 111111111111111110111111111* NOTE Mux-39 of block B* NOTE UIM for block C and F * NOTE 0 0 0* L69600 111111111111111111111111111* NOTE Mux-0 of block C* L69627 111111111111111111111111111* NOTE Mux-1 of block F* L69654 111111111111111111111111111* NOTE Mux-0 of block F* L69681 111101111111111111111111111* NOTE Mux-1 of block C* L69708 111111111111111111111111111* NOTE Mux-2 of block C* L69735 111111111111111111111111111* NOTE Mux-3 of block F* L69762 111111111111111111111111111* NOTE Mux-2 of block F* L69789 111111111111111111111111111* NOTE Mux-3 of block C* L69816 111111111111111111111111111* NOTE Mux-4 of block C* L69843 111111111111111111111111111* NOTE Mux-5 of block F* L69870 111111111111111111111111111* NOTE Mux-4 of block F* L69897 111111111111111111111011111* NOTE Mux-5 of block C* L69924 111111111111111111111111111* NOTE Mux-6 of block C* L69951 111111111111111111111111111* NOTE Mux-7 of block F* L69978 111111111111111111111111111* NOTE Mux-6 of block F* L70005 111111011111111111111111111* NOTE Mux-7 of block C* L70032 111111111111111111111111111* NOTE Mux-8 of block C* L70059 111111111111111111111111111* NOTE Mux-9 of block F* L70086 111111111111111111111111111* NOTE Mux-8 of block F* L70113 111110111111111111111111111* NOTE Mux-9 of block C* L70140 111111111111111111111111111* NOTE Mux-10 of block C* L70167 111111111111111111111111111* NOTE Mux-11 of block F* L70194 111111111111111111111111111* NOTE Mux-10 of block F* L70221 111111111111111111111111111* NOTE Mux-11 of block C* L70248 111111111111111111111111111* NOTE Mux-12 of block C* L70275 111111111111111111111111111* NOTE Mux-13 of block F* L70302 111111111111111111111111111* NOTE Mux-12 of block F* L70329 111011111111111111111111111* NOTE Mux-13 of block C* L70356 111111111111111111111111111* NOTE Mux-14 of block C* L70383 111111111111111111111111111* NOTE Mux-15 of block F* L70410 111111111111111111111111111* NOTE Mux-14 of block F* L70437 111111111111111111111111111* NOTE Mux-15 of block C* L70464 111111111111111111111111111* NOTE Mux-16 of block C* L70491 111111111111111111111111111* NOTE Mux-17 of block F* L70518 111111111111111111111111111* NOTE Mux-16 of block F* L70545 111101111111111111111111111* NOTE Mux-17 of block C* L70572 111111111111111111111111111* NOTE Mux-18 of block C* L70599 111111111111111111111111111* NOTE Mux-19 of block F* L70626 111111111111111111111111111* NOTE Mux-18 of block F* L70653 111111011111111111111111111* NOTE Mux-19 of block C* L70680 111111111111111111111111111* NOTE Mux-20 of block C* L70707 111111111111111111111111111* NOTE Mux-21 of block F* L70734 111111111111111111111111111* NOTE Mux-20 of block F* L70761 111111111111111111111111111* NOTE Mux-21 of block C* L70788 111111111111111111111111111* NOTE Mux-22 of block C* L70815 111111111111111111111111111* NOTE Mux-23 of block F* L70842 111111111111111111111111111* NOTE Mux-22 of block F* L70869 111111111111111111111111111* NOTE Mux-23 of block C* L70896 111111111111111111111111111* NOTE Mux-24 of block C* L70923 111111111111111111111111111* NOTE Mux-25 of block F* L70950 111111111111111111111111111* NOTE Mux-24 of block F* L70977 111111111111111111111111111* NOTE Mux-25 of block C* L71004 111111111111111111111111111* NOTE Mux-26 of block C* L71031 111111111111111111111111111* NOTE Mux-27 of block F* L71058 111111111111111111111111111* NOTE Mux-26 of block F* L71085 111111111111111111111111111* NOTE Mux-27 of block C* L71112 111111111111111111111111111* NOTE Mux-28 of block C* L71139 111111111111111111111111111* NOTE Mux-29 of block F* L71166 111111111111111111111111111* NOTE Mux-28 of block F* L71193 111111111111111111111111111* NOTE Mux-29 of block C* L71220 111111111111111111111111111* NOTE Mux-30 of block C* L71247 111111111111111111111111111* NOTE Mux-31 of block F* L71274 111111111111111111111111111* NOTE Mux-30 of block F* L71301 111111111111111111111111111* NOTE Mux-31 of block C* L71328 111111111111111111111111111* NOTE Mux-32 of block C* L71355 111111111111111111111111111* NOTE Mux-33 of block F* L71382 111111111111111111111111111* NOTE Mux-32 of block F* L71409 111111111111111111111111111* NOTE Mux-33 of block C* L71436 111111111111111111111111111* NOTE Mux-34 of block C* L71463 111111111111111111111111111* NOTE Mux-35 of block F* L71490 111111111111111111111111111* NOTE Mux-34 of block F* L71517 111111111111111111111111111* NOTE Mux-35 of block C* L71544 111111111111111111111111111* NOTE Mux-36 of block C* L71571 111111111111111111111111111* NOTE Mux-37 of block F* L71598 111111111111111111111111111* NOTE Mux-36 of block F* L71625 111111111111111111111111111* NOTE Mux-37 of block C* L71652 111111111111111111111111111* NOTE Mux-38 of block C* L71679 111111111111111111111111111* NOTE Mux-39 of block F* L71706 111111111111111111111111111* NOTE Mux-38 of block F* L71733 111111111111111110111111111* NOTE Mux-39 of block C* NOTE UIM for block D and E * NOTE 0 0 0* L71760 111111111111111111111111111* NOTE Mux-0 of block D* L71787 111111111111111111111111111* NOTE Mux-1 of block E* L71814 111111111111111111111111111* NOTE Mux-0 of block E* L71841 111111111111111111111111111* NOTE Mux-1 of block D* L71868 111111111111111111111111111* NOTE Mux-2 of block D* L71895 111111111111111111111111111* NOTE Mux-3 of block E* L71922 111111111111111111111111111* NOTE Mux-2 of block E* L71949 111111111111111111111111111* NOTE Mux-3 of block D* L71976 111111111111111111111111111* NOTE Mux-4 of block D* L72003 111111111111111111111111111* NOTE Mux-5 of block E* L72030 111111111111111111111111111* NOTE Mux-4 of block E* L72057 111111111111111111111111111* NOTE Mux-5 of block D* L72084 111111111111111111111111111* NOTE Mux-6 of block D* L72111 111111111111111111111111111* NOTE Mux-7 of block E* L72138 111111111111111111111111111* NOTE Mux-6 of block E* L72165 111101111111111111111111111* NOTE Mux-7 of block D* L72192 111111111111111111111111111* NOTE Mux-8 of block D* L72219 111111111111111111111111111* NOTE Mux-9 of block E* L72246 111111111111111111111111111* NOTE Mux-8 of block E* L72273 111110111111111111111111111* NOTE Mux-9 of block D* L72300 111111111111111111111111111* NOTE Mux-10 of block D* L72327 111111111111111111111111111* NOTE Mux-11 of block E* L72354 111111111111111111111111111* NOTE Mux-10 of block E* L72381 111111111111111111111111111* NOTE Mux-11 of block D* L72408 111111111111111111111111111* NOTE Mux-12 of block D* L72435 111111111111111111111111111* NOTE Mux-13 of block E* L72462 111111111111111111111111111* NOTE Mux-12 of block E* L72489 111111111111111111111111111* NOTE Mux-13 of block D* L72516 111111111111111111111111111* NOTE Mux-14 of block D* L72543 111111111111111111111111111* NOTE Mux-15 of block E* L72570 111111111111111111111111111* NOTE Mux-14 of block E* L72597 111111111111111111111111111* NOTE Mux-15 of block D* L72624 111111111111111111111111111* NOTE Mux-16 of block D* L72651 111111111111111111111111111* NOTE Mux-17 of block E* L72678 111111111111111111111111111* NOTE Mux-16 of block E* L72705 111111111111111111111111111* NOTE Mux-17 of block D* L72732 111111111111111111111111111* NOTE Mux-18 of block D* L72759 111111111111111111111111111* NOTE Mux-19 of block E* L72786 111111111111111111111111111* NOTE Mux-18 of block E* L72813 111111111111111111111111111* NOTE Mux-19 of block D* L72840 111111111111111111111111111* NOTE Mux-20 of block D* L72867 111111111111111111111111111* NOTE Mux-21 of block E* L72894 111111111111111111111111111* NOTE Mux-20 of block E* L72921 111111111111111111111111111* NOTE Mux-21 of block D* L72948 111111111111111111111111111* NOTE Mux-22 of block D* L72975 111111111111111111111111111* NOTE Mux-23 of block E* L73002 111111111111111111111111111* NOTE Mux-22 of block E* L73029 111111111111111111111111111* NOTE Mux-23 of block D* L73056 111111111111111111111111111* NOTE Mux-24 of block D* L73083 111111111111111111111111111* NOTE Mux-25 of block E* L73110 111111111111111111111111111* NOTE Mux-24 of block E* L73137 111111111111111111111111111* NOTE Mux-25 of block D* L73164 111111111111111111111111111* NOTE Mux-26 of block D* L73191 111111111111111111111111111* NOTE Mux-27 of block E* L73218 111111111111111111111111111* NOTE Mux-26 of block E* L73245 111111111111111111111111111* NOTE Mux-27 of block D* L73272 111111111111111111111111111* NOTE Mux-28 of block D* L73299 111111111111111111111111111* NOTE Mux-29 of block E* L73326 111111111111111111111111111* NOTE Mux-28 of block E* L73353 111111111111111111111111111* NOTE Mux-29 of block D* L73380 111111111111111111111111111* NOTE Mux-30 of block D* L73407 111111111111111111111111111* NOTE Mux-31 of block E* L73434 111111111111111111111111111* NOTE Mux-30 of block E* L73461 111111111111111111111111111* NOTE Mux-31 of block D* L73488 111111111111111111111111111* NOTE Mux-32 of block D* L73515 111111111111111111111111111* NOTE Mux-33 of block E* L73542 111111111111111111111111111* NOTE Mux-32 of block E* L73569 111111111111111111111111111* NOTE Mux-33 of block D* L73596 111111111111111111111111111* NOTE Mux-34 of block D* L73623 111111111111111111111111111* NOTE Mux-35 of block E* L73650 111111111111111111111111111* NOTE Mux-34 of block E* L73677 111111111111111111111111111* NOTE Mux-35 of block D* L73704 111111111111111111111111111* NOTE Mux-36 of block D* L73731 111111111111111111111111111* NOTE Mux-37 of block E* L73758 111111111111111111111111111* NOTE Mux-36 of block E* L73785 111111111111111111111111111* NOTE Mux-37 of block D* L73812 111111111111111111111111111* NOTE Mux-38 of block D* L73839 111111111111111111111111111* NOTE Mux-39 of block E* L73866 111111111111111111111111111* NOTE Mux-38 of block E* L73893 111111111111111111111111111* NOTE Mux-39 of block D* NOTE 6 global OE 0 0 0* L73920 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 111111111111111111111111111 * NOTE device configuration bits* NOTE 0 0 0* L74082 00111111111011010000000111111111* NOTE Special Purpose Bits (JTAG) * L74114 1111* NOTE UES bits* L74118 1111111111111111* NOTE Reserved bits * L74134 00* CEBAF* 0000