{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1551332453748 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1551332453842 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Feb 27 21:40:53 2019 " "Processing started: Wed Feb 27 21:40:53 2019" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1551332453842 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1551332453842 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off war -c war " "Command: quartus_map --read_settings_files=on --write_settings_files=off war -c war" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1551332453843 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 0 0 "Quartus II" 0 -1 1551332455602 ""} { "Warning" "WVRFX_VERI_EXTRA_SLASH_STAR" "war.v(226) " "Verilog HDL syntax warning at war.v(226): extra block comment delimiter characters /* within block comment" { } { { "war.v" "" { Text "C:/Users/Vince/Documents/warv/war.v" 226 0 0 } } } 0 10090 "Verilog HDL syntax warning at %1!s!: extra block comment delimiter characters /* within block comment" 1 0 "Quartus II" 0 -1 1551332455782 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "war.v 1 1 " "Found 1 design units, including 1 entities, in source file war.v" { { "Info" "ISGN_ENTITY_NAME" "1 warv " "Found entity 1: warv" { } { { "war.v" "" { Text "C:/Users/Vince/Documents/warv/war.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1551332455793 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1551332455793 ""} { "Error" "ESGN_TOP_ENTITY_IS_MISSING" "war " "Top-level design entity \"war\" is undefined" { } { } 0 12007 "Top-level design entity \"%1!s!\" is undefined" 0 0 "Quartus II" 0 -1 1551332456021 ""} { "Error" "EQEXE_ERROR_COUNT" "Analysis & Synthesis 1 1 Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was unsuccessful. 1 error, 1 warning" { { "Error" "EQEXE_END_PEAK_VSIZE_MEMORY" "399 " "Peak virtual memory: 399 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1551332456350 ""} { "Error" "EQEXE_END_BANNER_TIME" "Wed Feb 27 21:40:56 2019 " "Processing ended: Wed Feb 27 21:40:56 2019" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1551332456350 ""} { "Error" "EQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1551332456350 ""} { "Error" "EQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1551332456350 ""} } { } 0 0 "%6!s! %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1551332456350 ""} { "Error" "EFLOW_ERROR_COUNT" "Full Compilation 3 s 1 " "Quartus II Full Compilation was unsuccessful. 3 errors, 1 warning" { } { } 0 293001 "Quartus II %1!s! was unsuccessful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1551332457052 ""}