{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1583459310018 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 32-bit " "Running Quartus II 32-bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1583459310049 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 05 17:48:29 2020 " "Processing started: Thu Mar 05 17:48:29 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1583459310049 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1583459310049 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off warv -c warv " "Command: quartus_map --read_settings_files=on --write_settings_files=off warv -c warv" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1583459310049 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 1 0 "Quartus II" 0 -1 1583459311188 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "warv.v 1 1 " "Found 1 design units, including 1 entities, in source file warv.v" { { "Info" "ISGN_ENTITY_NAME" "1 warv " "Found entity 1: warv" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 1 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1583459311328 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1583459311328 ""} { "Info" "ISGN_START_ELABORATION_TOP" "warv " "Elaborating entity \"warv\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1583459311406 ""} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y03 warv.v(430) " "Verilog HDL Always Construct warning at warv.v(430): inferring latch(es) for variable \"y03\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 430 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y02 warv.v(430) " "Verilog HDL Always Construct warning at warv.v(430): inferring latch(es) for variable \"y02\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 430 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x03 warv.v(437) " "Verilog HDL Always Construct warning at warv.v(437): inferring latch(es) for variable \"x03\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 437 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x02 warv.v(437) " "Verilog HDL Always Construct warning at warv.v(437): inferring latch(es) for variable \"x02\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 437 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y07 warv.v(444) " "Verilog HDL Always Construct warning at warv.v(444): inferring latch(es) for variable \"y07\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y06 warv.v(444) " "Verilog HDL Always Construct warning at warv.v(444): inferring latch(es) for variable \"y06\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y05 warv.v(444) " "Verilog HDL Always Construct warning at warv.v(444): inferring latch(es) for variable \"y05\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y04 warv.v(444) " "Verilog HDL Always Construct warning at warv.v(444): inferring latch(es) for variable \"y04\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x07 warv.v(456) " "Verilog HDL Always Construct warning at warv.v(456): inferring latch(es) for variable \"x07\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x06 warv.v(456) " "Verilog HDL Always Construct warning at warv.v(456): inferring latch(es) for variable \"x06\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x05 warv.v(456) " "Verilog HDL Always Construct warning at warv.v(456): inferring latch(es) for variable \"x05\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x04 warv.v(456) " "Verilog HDL Always Construct warning at warv.v(456): inferring latch(es) for variable \"x04\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y11 warv.v(467) " "Verilog HDL Always Construct warning at warv.v(467): inferring latch(es) for variable \"y11\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y10 warv.v(467) " "Verilog HDL Always Construct warning at warv.v(467): inferring latch(es) for variable \"y10\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311406 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y09 warv.v(467) " "Verilog HDL Always Construct warning at warv.v(467): inferring latch(es) for variable \"y09\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "y08 warv.v(467) " "Verilog HDL Always Construct warning at warv.v(467): inferring latch(es) for variable \"y08\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x11 warv.v(478) " "Verilog HDL Always Construct warning at warv.v(478): inferring latch(es) for variable \"x11\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x10 warv.v(478) " "Verilog HDL Always Construct warning at warv.v(478): inferring latch(es) for variable \"x10\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x09 warv.v(478) " "Verilog HDL Always Construct warning at warv.v(478): inferring latch(es) for variable \"x09\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "x08 warv.v(478) " "Verilog HDL Always Construct warning at warv.v(478): inferring latch(es) for variable \"x08\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "int_enable warv.v(518) " "Verilog HDL Always Construct warning at warv.v(518): inferring latch(es) for variable \"int_enable\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 518 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WVRFX_L2_VERI_ALWAYS_ID_HOLDS_VALUE" "device_flag warv.v(523) " "Verilog HDL Always Construct warning at warv.v(523): inferring latch(es) for variable \"device_flag\", which holds its previous value in one or more paths through the always construct" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 523 0 0 } } } 0 10240 "Verilog HDL Always Construct warning at %2!s!: inferring latch(es) for variable \"%1!s!\", which holds its previous value in one or more paths through the always construct" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "device_flag warv.v(523) " "Inferred latch for \"device_flag\" at warv.v(523)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 523 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "int_enable warv.v(518) " "Inferred latch for \"int_enable\" at warv.v(518)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 518 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x08 warv.v(478) " "Inferred latch for \"x08\" at warv.v(478)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x09 warv.v(478) " "Inferred latch for \"x09\" at warv.v(478)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x10 warv.v(478) " "Inferred latch for \"x10\" at warv.v(478)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x11 warv.v(478) " "Inferred latch for \"x11\" at warv.v(478)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 478 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y08 warv.v(467) " "Inferred latch for \"y08\" at warv.v(467)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y09 warv.v(467) " "Inferred latch for \"y09\" at warv.v(467)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y10 warv.v(467) " "Inferred latch for \"y10\" at warv.v(467)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y11 warv.v(467) " "Inferred latch for \"y11\" at warv.v(467)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 467 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x04 warv.v(456) " "Inferred latch for \"x04\" at warv.v(456)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x05 warv.v(456) " "Inferred latch for \"x05\" at warv.v(456)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x06 warv.v(456) " "Inferred latch for \"x06\" at warv.v(456)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x07 warv.v(456) " "Inferred latch for \"x07\" at warv.v(456)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 456 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y04 warv.v(444) " "Inferred latch for \"y04\" at warv.v(444)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y05 warv.v(444) " "Inferred latch for \"y05\" at warv.v(444)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y06 warv.v(444) " "Inferred latch for \"y06\" at warv.v(444)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y07 warv.v(444) " "Inferred latch for \"y07\" at warv.v(444)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 444 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x02 warv.v(437) " "Inferred latch for \"x02\" at warv.v(437)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 437 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "x03 warv.v(437) " "Inferred latch for \"x03\" at warv.v(437)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 437 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y02 warv.v(430) " "Inferred latch for \"y02\" at warv.v(430)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 430 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Info" "IVRFX_L2_VDB_LATCH_INFERRED" "y03 warv.v(430) " "Inferred latch for \"y03\" at warv.v(430)" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 430 0 0 } } } 0 10041 "Inferred latch for \"%1!s!\" at %2!s!" 0 0 "Quartus II" 0 -1 1583459311422 "|warv"} { "Warning" "WCDB_SGATE_CDB_WARN_LATCH_DISABLED" "device_flag " "LATCH primitive \"device_flag\" is permanently disabled" { } { { "warv.v" "" { Text "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/warv.v" 200 -1 0 } } } 0 14025 "LATCH primitive \"%1!s!\" is permanently disabled" 0 0 "Quartus II" 0 -1 1583459311828 ""} { "Info" "ICUT_CUT_TM_SUMMARY" "114 " "Implemented 114 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "26 " "Implemented 26 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1583459312249 ""} { "Info" "ICUT_CUT_TM_OPINS" "26 " "Implemented 26 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1583459312249 ""} { "Info" "ICUT_CUT_TM_BIDIRS" "12 " "Implemented 12 bidirectional pins" { } { } 0 21060 "Implemented %1!d! bidirectional pins" 0 0 "Quartus II" 0 -1 1583459312249 ""} { "Info" "ICUT_CUT_TM_MCELLS" "41 " "Implemented 41 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1583459312249 ""} { "Info" "ICUT_CUT_TM_SEXPS" "9 " "Implemented 9 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1583459312249 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1583459312249 ""} { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/output_files/warv.map.smsg " "Generated suppressed messages file C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/output_files/warv.map.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1583459312405 ""} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 23 s Quartus II 32-bit " "Quartus II 32-bit Analysis & Synthesis was successful. 0 errors, 23 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "313 " "Peak virtual memory: 313 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1583459312795 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 05 17:48:32 2020 " "Processing ended: Thu Mar 05 17:48:32 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1583459312795 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1583459312795 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1583459312795 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1583459312795 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1583459314994 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 32-bit " "Running Quartus II 32-bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1583459315026 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 05 17:48:34 2020 " "Processing started: Thu Mar 05 17:48:34 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1583459315026 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1583459315026 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off warv -c warv " "Command: quartus_fit --read_settings_files=off --write_settings_files=off warv -c warv" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1583459315026 ""} { "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1583459315291 ""} { "Info" "0" "" "Project = warv" { } { } 0 0 "Project = warv" 0 0 "Fitter" 0 0 1583459315291 ""} { "Info" "0" "" "Revision = warv" { } { } 0 0 "Revision = warv" 0 0 "Fitter" 0 0 1583459315291 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 1 0 "Fitter" 0 -1 1583459315494 ""} { "Info" "IMPP_MPP_USER_DEVICE" "warv EPM7128SLC84-15 " "Selected device EPM7128SLC84-15 for design \"warv\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1583459315509 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN" "" "Ignored locations or region assignments to the following nodes" { { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "del_1 " "Node \"del_1\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "del_1" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1583459315572 ""} { "Warning" "WCUT_CUT_UNATTACHED_ASGN_SUB" "ld_del " "Node \"ld_del\" is assigned to location or region, but does not exist in design" { } { { "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" "" { Assignment "c:/altera/13.0sp1/quartus/bin/Assignment Editor.qase" 1 { { 0 "ld_del" } } } } } 0 15706 "Node \"%1!s!\" is assigned to location or region, but does not exist in design" 0 0 "Quartus II" 0 -1 1583459315572 ""} } { } 0 15705 "Ignored locations or region assignments to the following nodes" 0 0 "Fitter" 0 -1 1583459315572 ""} { "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit Fitter was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "308 " "Peak virtual memory: 308 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1583459316679 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 05 17:48:36 2020 " "Processing ended: Thu Mar 05 17:48:36 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1583459316679 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1583459316679 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:02 " "Total CPU time (on all processors): 00:00:02" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1583459316679 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1583459316679 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1583459318270 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 32-bit " "Running Quartus II 32-bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1583459318302 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 05 17:48:37 2020 " "Processing started: Thu Mar 05 17:48:37 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1583459318302 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1583459318302 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off warv -c warv " "Command: quartus_asm --read_settings_files=off --write_settings_files=off warv -c warv" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1583459318302 ""} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1583459319160 ""} { "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 32-bit " "Quartus II 32-bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "303 " "Peak virtual memory: 303 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1583459320439 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 05 17:48:40 2020 " "Processing ended: Thu Mar 05 17:48:40 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1583459320439 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:03 " "Elapsed time: 00:00:03" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1583459320439 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1583459320439 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1583459320439 ""} { "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1583459321234 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1583459323044 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 32-bit " "Running Quartus II 32-bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1583459323091 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Mar 05 17:48:41 2020 " "Processing started: Thu Mar 05 17:48:41 2020" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1583459323091 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1583459323091 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta warv -c warv " "Command: quartus_sta warv -c warv" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1583459323091 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1583459323512 ""} { "Warning" "WQCU_PARALLEL_NO_LICENSE" "" "Parallel compilation is not licensed and has been disabled" { } { } 0 20028 "Parallel compilation is not licensed and has been disabled" 1 0 "Quartus II" 0 -1 1583459323949 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1583459323980 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1583459323980 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1583459324152 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "warv.sdc " "Synopsys Design Constraints File file not found: 'warv.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name tp3 tp3 " "create_clock -period 1.000 -name tp3 tp3" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md10_low md10_low " "create_clock -period 1.000 -name md10_low md10_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md9_low md9_low " "create_clock -period 1.000 -name md9_low md9_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name pause_low pause_low " "create_clock -period 1.000 -name pause_low pause_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md7_low md7_low " "create_clock -period 1.000 -name md7_low md7_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md6_low md6_low " "create_clock -period 1.000 -name md6_low md6_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md4_low md4_low " "create_clock -period 1.000 -name md4_low md4_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md3_low md3_low " "create_clock -period 1.000 -name md3_low md3_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md8_low md8_low " "create_clock -period 1.000 -name md8_low md8_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md5_low md5_low " "create_clock -period 1.000 -name md5_low md5_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name md11_low md11_low " "create_clock -period 1.000 -name md11_low md11_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name set_done set_done " "create_clock -period 1.000 -name set_done set_done" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name ld_del_low ld_del_low " "create_clock -period 1.000 -name ld_del_low ld_del_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name del_1_low del_1_low " "create_clock -period 1.000 -name del_1_low del_1_low" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name red_delay red_delay " "create_clock -period 1.000 -name red_delay red_delay" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name grn_delay grn_delay " "create_clock -period 1.000 -name grn_delay grn_delay" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324245 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1583459324261 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1583459324386 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -3.500 " "Worst-case setup slack is -3.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md10_low " " -3.500 -21.000 md10_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md11_low " " -3.500 -21.000 md11_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md3_low " " -3.500 -21.000 md3_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md4_low " " -3.500 -21.000 md4_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md5_low " " -3.500 -21.000 md5_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md6_low " " -3.500 -21.000 md6_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md7_low " " -3.500 -21.000 md7_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md8_low " " -3.500 -21.000 md8_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 md9_low " " -3.500 -21.000 md9_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 pause_low " " -3.500 -21.000 pause_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -21.000 tp3 " " -3.500 -21.000 tp3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1583459324401 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -4.000 " "Worst-case hold slack is -4.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md10_low " " -4.000 -24.000 md10_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md11_low " " -4.000 -24.000 md11_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md3_low " " -4.000 -24.000 md3_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md4_low " " -4.000 -24.000 md4_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md5_low " " -4.000 -24.000 md5_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md6_low " " -4.000 -24.000 md6_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md7_low " " -4.000 -24.000 md7_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md8_low " " -4.000 -24.000 md8_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 md9_low " " -4.000 -24.000 md9_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 pause_low " " -4.000 -24.000 pause_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.000 -24.000 tp3 " " -4.000 -24.000 tp3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1583459324432 ""} { "Info" "ISTA_WORST_CASE_SLACK" "recovery -32.000 " "Worst-case recovery slack is -32.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -32.000 -32.000 del_1_low " " -32.000 -32.000 del_1_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -32.000 -32.000 grn_delay " " -32.000 -32.000 grn_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -32.000 -32.000 ld_del_low " " -32.000 -32.000 ld_del_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -32.000 -32.000 red_delay " " -32.000 -32.000 red_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -32.000 -32.000 set_done " " -32.000 -32.000 set_done " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md10_low " " -23.500 -141.000 md10_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md11_low " " -23.500 -141.000 md11_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md3_low " " -23.500 -141.000 md3_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md4_low " " -23.500 -141.000 md4_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md5_low " " -23.500 -141.000 md5_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md6_low " " -23.500 -141.000 md6_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md7_low " " -23.500 -141.000 md7_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md8_low " " -23.500 -141.000 md8_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 md9_low " " -23.500 -141.000 md9_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 pause_low " " -23.500 -141.000 pause_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -23.500 -141.000 tp3 " " -23.500 -141.000 tp3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1583459324479 ""} { "Info" "ISTA_WORST_CASE_SLACK" "removal 8.000 " "Worst-case removal slack is 8.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md10_low " " 8.000 0.000 md10_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md11_low " " 8.000 0.000 md11_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md3_low " " 8.000 0.000 md3_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md4_low " " 8.000 0.000 md4_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md5_low " " 8.000 0.000 md5_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md6_low " " 8.000 0.000 md6_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md7_low " " 8.000 0.000 md7_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md8_low " " 8.000 0.000 md8_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 md9_low " " 8.000 0.000 md9_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 pause_low " " 8.000 0.000 pause_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 tp3 " " 8.000 0.000 tp3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 16.000 0.000 del_1_low " " 16.000 0.000 del_1_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 16.000 0.000 grn_delay " " 16.000 0.000 grn_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 16.000 0.000 ld_del_low " " 16.000 0.000 ld_del_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 16.000 0.000 red_delay " " 16.000 0.000 red_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 16.000 0.000 set_done " " 16.000 0.000 set_done " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1583459324573 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -5.500 " "Worst-case minimum pulse width slack is -5.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md10_low " " -5.500 -66.000 md10_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md11_low " " -5.500 -66.000 md11_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md3_low " " -5.500 -66.000 md3_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md4_low " " -5.500 -66.000 md4_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md5_low " " -5.500 -66.000 md5_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md6_low " " -5.500 -66.000 md6_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md7_low " " -5.500 -66.000 md7_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md8_low " " -5.500 -66.000 md8_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 md9_low " " -5.500 -66.000 md9_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 pause_low " " -5.500 -66.000 pause_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -66.000 tp3 " " -5.500 -66.000 tp3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 del_1_low " " -5.500 -11.000 del_1_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 grn_delay " " -5.500 -11.000 grn_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 ld_del_low " " -5.500 -11.000 ld_del_low " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 red_delay " " -5.500 -11.000 red_delay " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -5.500 -11.000 set_done " " -5.500 -11.000 set_done " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1583459324604 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1583459332201 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1583459332669 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1583459332669 ""} { "Info" "IRDB_WROTE_SUPPRESSED_MSGS" "C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/output_files/warv.sta.smsg " "Generated suppressed messages file C:/Users/Vince/Desktop/trunk/Eagle/projects/DEC/Mxxx/M885/warv/output_files/warv.sta.smsg" { } { } 0 144001 "Generated suppressed messages file %1!s!" 0 0 "Quartus II" 0 -1 1583459333262 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 32-bit " "Quartus II 32-bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "285 " "Peak virtual memory: 285 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1583459333855 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Mar 05 17:48:53 2020 " "Processing ended: Thu Mar 05 17:48:53 2020" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1583459333855 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:12 " "Elapsed time: 00:00:12" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1583459333855 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:04 " "Total CPU time (on all processors): 00:00:04" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1583459333855 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1583459333855 ""} { "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 29 s " "Quartus II Full Compilation was successful. 0 errors, 29 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1583459335384 ""}