// this file is generated by topld.pl // please don't edit it. // input pins // output pins // internal nodes // code nodes // equations // c1: c_us // c2: c_us // c3: c_us // c4: c_us // c5: c_us // c6: c_us // c7: c_us // c8: c_us // c9: c_us // c10: c_us // c11: c_us // c12: c_us // c13: c_us // c14: cpol_use // c15: c_us // e1: sn7400 module m105x (n_t_33x, n_t_34x, n_t_35x, n_t_36x, n_t_37x, n_t_38x, n_t_39x, n_t_40x, n_t_41x, n_t_42x, c1_l, extgnd, n_t_10x, n_t_11x, n_t_12x, n_t_13x, n_t_14x, n_t_15x, n_t_16x, n_t_17x, n_t_18x, n_t_19x, n_t_1x, n_t_20x, n_t_21x, n_t_22x, n_t_23x, n_t_24x, n_t_25x, n_t_26x, n_t_27x, n_t_28x, n_t_2x, n_t_3x, n_t_43x, n_t_44x, n_t_45x, n_t_4x, n_t_5x, n_t_6x, n_t_7x, n_t_8x, n_t_9x, syn_l, testpoint); input n_t_33x; input n_t_34x; input n_t_35x; input n_t_36x; input n_t_37x; input n_t_38x; input n_t_39x; input n_t_40x; input n_t_41x; input n_t_42x; inout c1_l; output extgnd; inout n_t_10x; inout n_t_11x; inout n_t_12x; inout n_t_13x; inout n_t_14x; inout n_t_15x; inout n_t_16x; inout n_t_17x; output n_t_18x; output n_t_19x; output n_t_1x; inout n_t_20x; inout n_t_21x; output n_t_22x; inout n_t_23x; output n_t_24x; output n_t_25x; output n_t_26x; output n_t_27x; output n_t_28x; inout n_t_2x; inout n_t_3x; inout n_t_43x; input n_t_44x; output n_t_45x; inout n_t_4x; inout n_t_5x; output n_t_6x; inout n_t_7x; inout n_t_8x; output n_t_9x; output syn_l; inout testpoint; wire a0; wire a1; wire a10; wire a11; wire a12; wire a13; wire a14; wire a15; wire a16; wire a17; wire a2; wire a3; wire a4; wire a5; wire a6; wire a7; wire a8; wire a9; wire c0; wire c1; wire msyn; wire n_t_29x; wire n_t_30x; wire n_t_31x; wire outhigh; wire outlow; wire select0; wire select2; wire select4; wire select6; assign n_t_29x = ~(a13 & a14); assign n_t_30x = ~(a15 & a16); assign n_t_31x = ~(msyn & a17); // e2: am26s10 assign a1 = ~n_t_5x; // n_t_5x = 'b'1; assign a2 = ~n_t_7x; // n_t_7x = 'b'1; assign a16 = ~n_t_8x; // n_t_8x = 'b'1; // e3: am26s10 assign a15 = ~n_t_2x; // n_t_2x = 'b'1; assign a17 = ~n_t_3x; // n_t_3x = 'b'1; assign a12 = ~n_t_4x; // n_t_4x = 'b'1; // e4: sn7438 // testpoint = !(!n_t_31x & n_t_43x); // n_t_22x = !testpoint; // syn_l = !n_t_44x; // c1_l = !c1; // e5: sn74266 // n_t_43x = !(a4 // $ n_t_34x); // n_t_43x = !(a3 // $ n_t_33x); // n_t_43x = !n_t_29x; // n_t_43x = !n_t_30x; // e6: am26s10 // n_t_45x = 'b'1; // n_t_28x = 'b'1; assign a11 = ~n_t_16x; // n_t_16x = 'b'1; // e7: am26s10 assign a14 = ~n_t_10x; // n_t_10x = 'b'1; assign c0 = ~n_t_11x; // n_t_11x = 'b'1; assign a0 = ~n_t_12x; // n_t_12x = 'b'1; // e8: sn74139 assign select0 = ~[a1,a2]:'b'00; assign select2 = ~[a1,a2]:'b'01; assign select4 = ~[a1,a2]:'b'10; assign select6 = ~[a1,a2]:'b'11; assign outhigh = ~(~c1_l & [a0,c0]:'b'10); assign outlow = ~(~c1_l & [a0,c0]:'b'11); // e9: sn74266 // n_t_43x = !(a8 // $ n_t_38x); // n_t_43x = !(a7 // $ n_t_37x); // n_t_43x = !(a5 // $ n_t_35x); // n_t_43x = !(a6 // $ n_t_36x); // e10: am26s10 // n_t_25x = !(select6 & testpoint); // n_t_26x = !(select0 & testpoint); // n_t_23x = !(select0 & testpoint); // e11: am26s10 assign a4 = ~n_t_20x; // n_t_20x = 'b'1; assign a5 = ~n_t_21x; // n_t_21x = 'b'1; assign a7 = ~n_t_13x; // n_t_13x = 'b'1; // e12: am26s10 assign a9 = ~n_t_17x; // n_t_17x = 'b'1; assign a10 = ~n_t_14x; // n_t_14x = 'b'1; assign a8 = ~n_t_15x; // n_t_15x = 'b'1; // e13: sn74266 // n_t_43x = !(a12 // $ n_t_42x); // n_t_43x = !(a11 // $ n_t_41x); // n_t_43x = !(a9 // $ n_t_39x); // n_t_43x = !(a10 // $ n_t_40x); // open collector 'wire-or's assign c1_l = c1? ~c1: 1'bz; assign n_t_10x = 1'b0? 1'b1: 1'bz; assign n_t_11x = 1'b0? 1'b1: 1'bz; assign n_t_12x = 1'b0? 1'b1: 1'bz; assign n_t_13x = 1'b0? 1'b1: 1'bz; assign n_t_14x = 1'b0? 1'b1: 1'bz; assign n_t_15x = 1'b0? 1'b1: 1'bz; assign n_t_16x = 1'b0? 1'b1: 1'bz; assign n_t_17x = 1'b0? 1'b1: 1'bz; assign n_t_20x = 1'b0? 1'b1: 1'bz; assign n_t_21x = 1'b0? 1'b1: 1'bz; assign n_t_22x = testpoint? ~testpoint: 1'bz; assign n_t_23x = (select0 & testpoint)? 1'b0: 1'bz; assign n_t_25x = (select6 & testpoint)? 1'b0: 1'bz; assign n_t_26x = (select0 & testpoint)? 1'b0: 1'bz; assign n_t_28x = 1'b0? 1'b1: 1'bz; assign n_t_2x = 1'b0? 1'b1: 1'bz; assign n_t_3x = 1'b0? 1'b1: 1'bz; assign n_t_43x = (a4 ^ n_t_34x) | (a3 ^ n_t_33x) | n_t_29x | n_t_30x | (a8 ^ n_t_38x) | (a7 ^ n_t_37x) | (a5 ^ n_t_35x) | (a6 ^ n_t_36x) | (a12 ^ n_t_42x) | (a11 ^ n_t_41x) | (a9 ^ n_t_39x) | (a10 ^ n_t_40x)? 1'b0: 1'bz; assign n_t_45x = 1'b0? 1'b1: 1'bz; assign n_t_4x = 1'b0? 1'b1: 1'bz; assign n_t_5x = 1'b0? 1'b1: 1'bz; assign n_t_7x = 1'b0? 1'b1: 1'bz; assign n_t_8x = 1'b0? 1'b1: 1'bz; assign syn_l = n_t_44x? ~n_t_44x: 1'bz; assign testpoint = (~n_t_31x & n_t_43x)? 1'b0: 1'bz; endmodule