// this file is generated by topld.pl // please don't edit it. // input pins // output pins // internal nodes // code nodes // equations // c1: c_us // c2: c_us // c3: c_us // c4: c_us // c5: c_us // c6: c_us // c7: c_us // c8: c_us // c9: c_us // c10: c_us // c11: c_us // c12: c_us // c13: c_us // c14: c_us // e1: sn7475 module m7075c (a1, b1, c1, d1, d2, e1, e2, f1, f2, h1, h2, j1, j2, k1, k2, l1, l2, m1, m2, m2_l, n1, n2, p1, p1_l, p2, r1, r2, s1, s2, t2, u1, u2, v1, v2); input a1; input b1; inout reg c1; input d1; input d2; inout reg e1; input e2; input f1; input f2; input h1; input h2; input j1; input j2; output reg k1; input k2; input l1; output l2; inout m1; input m2; inout m2_l; output n1; input n2; input p1; inout p1_l; input p2; input r1; input r2; input s1; input s2; input t2; output u1; input u2; input v1; input v2; reg c1_m; reg e1_m; reg gdollar_0_m; reg gdollar_1_m; reg gdollar_2_m; reg gdollar_3_m; reg gdollar_4_m; reg gdollar_5_m; reg gdollar_6_m; reg gdollar_7_m; reg k1_m; reg n_t_101x_m; reg n_t_38x_m; reg n_t_39x_m; reg n_t_41x_m; reg n_t_42x_m; reg n_t_50x_m; reg n_t_51x_m; reg n_t_52x_m; reg n_t_82x_m; reg n_t_84x_m; reg n_t_92x_m; reg gdollar_0; reg gdollar_1; reg gdollar_2; reg gdollar_3; reg n_t_52x; reg n_t_50x; reg n_t_38x; reg n_t_42x; reg n_t_84x; reg n_t_82x; reg n_t_92x; reg gdollar_4; reg gdollar_5; reg gdollar_6; reg gdollar_7; reg n_t_39x; reg n_t_41x; reg n_t_51x; reg n_t_101x; wire n_t_115x; wire n_t_129x; wire n_t_132x; wire n_t_133x; wire n_t_136x; wire n_t_152x; wire n_t_153x; wire n_t_20x; wire n_t_21x; wire n_t_31x; wire n_t_34x; wire n_t_35x; wire n_t_36x; wire n_t_54x; wire n_t_69x; wire n_t_74x; wire n_t_86x; wire n_t_99x; wire s_t_1; wire s_t_4; always @(p1_l, h2, p1_l, h2, 1'b0) if (p1_l & ~h2) begin gdollar_0_m <= 1'b0; end else if (p1_l & h2) begin gdollar_0_m <= 1'b1; end else if (~(1'b0)) begin gdollar_0_m <= 1'b0; end always @(p1_l, h2, p1_l, h2, gdollar_0_m) if (p1_l & ~h2) begin gdollar_0 <= 1'b0; end else if (p1_l & h2) begin gdollar_0 <= 1'b1; end else if (1'b0) begin gdollar_0 <= gdollar_0_m; end always @(p1_l, f2, p1_l, f2, 1'b0) if (p1_l & ~f2) begin gdollar_1_m <= 1'b0; end else if (p1_l & f2) begin gdollar_1_m <= 1'b1; end else if (~(1'b0)) begin gdollar_1_m <= 1'b0; end always @(p1_l, f2, p1_l, f2, gdollar_1_m) if (p1_l & ~f2) begin gdollar_1 <= 1'b0; end else if (p1_l & f2) begin gdollar_1 <= 1'b1; end else if (1'b0) begin gdollar_1 <= gdollar_1_m; end always @(p1_l, d2, p1_l, d2, 1'b0) if (p1_l & ~d2) begin gdollar_2_m <= 1'b0; end else if (p1_l & d2) begin gdollar_2_m <= 1'b1; end else if (~(1'b0)) begin gdollar_2_m <= 1'b0; end always @(p1_l, d2, p1_l, d2, gdollar_2_m) if (p1_l & ~d2) begin gdollar_2 <= 1'b0; end else if (p1_l & d2) begin gdollar_2 <= 1'b1; end else if (1'b0) begin gdollar_2 <= gdollar_2_m; end always @(p1_l, e2, p1_l, e2, 1'b0) if (p1_l & ~e2) begin gdollar_3_m <= 1'b0; end else if (p1_l & e2) begin gdollar_3_m <= 1'b1; end else if (~(1'b0)) begin gdollar_3_m <= 1'b0; end always @(p1_l, e2, p1_l, e2, gdollar_3_m) if (p1_l & ~e2) begin gdollar_3 <= 1'b0; end else if (p1_l & e2) begin gdollar_3 <= 1'b1; end else if (1'b0) begin gdollar_3 <= gdollar_3_m; end assign s_t_4 = ~gdollar_0; // e2: dec8266 // n_t_20x = !(!j1 & !e1 // # !gdollar_3 & e1); // n_t_35x = !(!h1 & !e1 // # s_t_4 & e1); // n_t_153x = !(!d1 & !e1 // # !gdollar_1 & e1); // n_t_152x = !(!f1 & !e1 // # !gdollar_2 & e1); // e3: dec8271 always @(v2, m2_l, n_t_54x, n_t_74x, n_t_133x, n_t_74x) if (~m2_l) begin n_t_52x_m <= 1'b0; end else if (~(~v2)) begin n_t_52x_m <= n_t_54x & n_t_74x | n_t_133x & ~n_t_74x; end always @(v2, m2_l, n_t_52x_m) if (~m2_l) begin n_t_52x <= 1'b0; end else if (~v2) begin n_t_52x <= n_t_52x_m; end always @(v2, m2_l, n_t_52x, n_t_74x, n_t_136x, n_t_74x) if (~m2_l) begin n_t_50x_m <= 1'b0; end else if (~(~v2)) begin n_t_50x_m <= n_t_52x & n_t_74x | n_t_136x & ~n_t_74x; end always @(v2, m2_l, n_t_50x_m) if (~m2_l) begin n_t_50x <= 1'b0; end else if (~v2) begin n_t_50x <= n_t_50x_m; end always @(v2, m2_l, n_t_50x, n_t_74x, n_t_153x, n_t_74x) if (~m2_l) begin n_t_38x_m <= 1'b0; end else if (~(~v2)) begin n_t_38x_m <= n_t_50x & n_t_74x | n_t_153x & ~n_t_74x; end always @(v2, m2_l, n_t_38x_m) if (~m2_l) begin n_t_38x <= 1'b0; end else if (~v2) begin n_t_38x <= n_t_38x_m; end always @(v2, m2_l, n_t_38x, n_t_74x, n_t_152x, n_t_74x) if (~m2_l) begin n_t_42x_m <= 1'b0; end else if (~(~v2)) begin n_t_42x_m <= n_t_38x & n_t_74x | n_t_152x & ~n_t_74x; end always @(v2, m2_l, n_t_42x_m) if (~m2_l) begin n_t_42x <= 1'b0; end else if (~v2) begin n_t_42x <= n_t_42x_m; end // e4: dec4015 always @(v2, m2_l, n_t_115x, n_t_92x) if (~m2_l) begin n_t_84x_m <= 1'b0; end else if (~n_t_115x) begin n_t_84x_m <= 1'b1; end else if (~(~v2)) begin n_t_84x_m <= n_t_92x; end always @(v2, m2_l, n_t_115x, n_t_84x_m) if (~m2_l) begin n_t_84x <= 1'b0; end else if (~n_t_115x) begin n_t_84x <= 1'b1; end else if (~v2) begin n_t_84x <= n_t_84x_m; end always @(v2, m2_l, m2_l, n_t_92x) if (~m2_l) begin k1_m <= 1'b0; end else if (~m2_l) begin k1_m <= 1'b1; end else if (~(~v2)) begin k1_m <= n_t_92x; end always @(v2, m2_l, m2_l, k1_m) if (~m2_l) begin k1 <= 1'b0; end else if (~m2_l) begin k1 <= 1'b1; end else if (~v2) begin k1 <= k1_m; end always @(v2, m2_l, b1) if (~m2_l) begin n_t_82x_m <= 1'b0; end else if (~(~v2)) begin n_t_82x_m <= b1; end always @(v2, m2_l, n_t_82x_m) if (~m2_l) begin n_t_82x <= 1'b0; end else if (~v2) begin n_t_82x <= n_t_82x_m; end always @(v2, m2_l, n_t_86x) if (~m2_l) begin n_t_92x_m <= 1'b0; end else if (~(~v2)) begin n_t_92x_m <= n_t_86x; end always @(v2, m2_l, n_t_92x_m) if (~m2_l) begin n_t_92x <= 1'b0; end else if (~v2) begin n_t_92x <= n_t_92x_m; end // e5: sn7410 assign n_t_86x = ~(~e1 & m1 & a1); assign n_t_99x = ~(b1 & n_t_86x & n_t_86x); assign n_t_115x = ~(l1 & n_t_86x & m1); // e6: sn7400 assign n_t_133x = ~(k2 & n_t_132x); assign n_t_136x = ~(j2 & n_t_129x); assign n_t_129x = ~(j2 & n_t_35x); assign n_t_132x = ~(k2 & n_t_20x); // e7: sn7416 // p1_l = !p1; // n1 = !n_t_51x; // m1 = !n_t_50x; // m1 = !n_t_52x; // m1 = !n_t_54x; // e8: sn7416 // m2_l = !m2; // m1 = !n_t_41x; // m1 = !n_t_39x; // m1 = !n_t_42x; // m1 = !n_t_38x; // e9: sn7400 assign n_t_69x = ~(e1 & m1); assign n_t_74x = ~(~n_t_99x & m1); assign n_t_54x = ~(v1 & n_t_84x); assign u1 = ~(~(n_t_82x & ~n_t_101x)); // e10: sn7474 always @(n_t_69x, m2_l, p1, 1'b0) if (~m2_l) begin c1_m <= 1'b0; end else if (~p1) begin c1_m <= 1'b1; end else if (~(n_t_69x)) begin c1_m <= 1'b0; end always @(n_t_69x, m2_l, p1, c1_m) if (~m2_l) begin c1 <= 1'b0; end else if (~p1) begin c1 <= 1'b1; end else if (n_t_69x) begin c1 <= c1_m; end always @(v2, m2_l, c1) if (~m2_l) begin e1_m <= 1'b0; end else if (~(v2)) begin e1_m <= c1; end always @(v2, m2_l, e1_m) if (~m2_l) begin e1 <= 1'b0; end else if (v2) begin e1 <= e1_m; end // e11: sn7475 always @(p1_l, s2, p1_l, s2, 1'b0) if (p1_l & ~s2) begin gdollar_4_m <= 1'b0; end else if (p1_l & s2) begin gdollar_4_m <= 1'b1; end else if (~(1'b0)) begin gdollar_4_m <= 1'b0; end always @(p1_l, s2, p1_l, s2, gdollar_4_m) if (p1_l & ~s2) begin gdollar_4 <= 1'b0; end else if (p1_l & s2) begin gdollar_4 <= 1'b1; end else if (1'b0) begin gdollar_4 <= gdollar_4_m; end always @(p1_l, r2, p1_l, r2, 1'b0) if (p1_l & ~r2) begin gdollar_5_m <= 1'b0; end else if (p1_l & r2) begin gdollar_5_m <= 1'b1; end else if (~(1'b0)) begin gdollar_5_m <= 1'b0; end always @(p1_l, r2, p1_l, r2, gdollar_5_m) if (p1_l & ~r2) begin gdollar_5 <= 1'b0; end else if (p1_l & r2) begin gdollar_5 <= 1'b1; end else if (1'b0) begin gdollar_5 <= gdollar_5_m; end always @(p1_l, n2, p1_l, n2, 1'b0) if (p1_l & ~n2) begin gdollar_6_m <= 1'b0; end else if (p1_l & n2) begin gdollar_6_m <= 1'b1; end else if (~(1'b0)) begin gdollar_6_m <= 1'b0; end always @(p1_l, n2, p1_l, n2, gdollar_6_m) if (p1_l & ~n2) begin gdollar_6 <= 1'b0; end else if (p1_l & n2) begin gdollar_6 <= 1'b1; end else if (1'b0) begin gdollar_6 <= gdollar_6_m; end always @(p1_l, p2, p1_l, p2, 1'b0) if (p1_l & ~p2) begin gdollar_7_m <= 1'b0; end else if (p1_l & p2) begin gdollar_7_m <= 1'b1; end else if (~(1'b0)) begin gdollar_7_m <= 1'b0; end always @(p1_l, p2, p1_l, p2, gdollar_7_m) if (p1_l & ~p2) begin gdollar_7 <= 1'b0; end else if (p1_l & p2) begin gdollar_7 <= 1'b1; end else if (1'b0) begin gdollar_7 <= gdollar_7_m; end assign s_t_1 = ~gdollar_7; // e12: dec8266 // n_t_21x = !(!u2 & !e1 // # s_t_1 & e1); // n_t_31x = !(!t2 & !e1 // # !gdollar_4 & e1); // n_t_36x = !(!s1 & !e1 // # !gdollar_5 & e1); // n_t_34x = !(!r1 & !e1 // # !gdollar_6 & e1); // e13: dec8271 always @(v2, m2_l, n_t_42x, n_t_74x, n_t_21x, n_t_74x) if (~m2_l) begin n_t_39x_m <= 1'b0; end else if (~(~v2)) begin n_t_39x_m <= n_t_42x & n_t_74x | n_t_21x & ~n_t_74x; end always @(v2, m2_l, n_t_39x_m) if (~m2_l) begin n_t_39x <= 1'b0; end else if (~v2) begin n_t_39x <= n_t_39x_m; end always @(v2, m2_l, n_t_39x, n_t_74x, n_t_31x, n_t_74x) if (~m2_l) begin n_t_41x_m <= 1'b0; end else if (~(~v2)) begin n_t_41x_m <= n_t_39x & n_t_74x | n_t_31x & ~n_t_74x; end always @(v2, m2_l, n_t_41x_m) if (~m2_l) begin n_t_41x <= 1'b0; end else if (~v2) begin n_t_41x <= n_t_41x_m; end always @(v2, m2_l, n_t_41x, n_t_74x, n_t_36x, n_t_74x) if (~m2_l) begin n_t_51x_m <= 1'b0; end else if (~(~v2)) begin n_t_51x_m <= n_t_41x & n_t_74x | n_t_36x & ~n_t_74x; end always @(v2, m2_l, n_t_51x_m) if (~m2_l) begin n_t_51x <= 1'b0; end else if (~v2) begin n_t_51x <= n_t_51x_m; end always @(v2, m2_l, n_t_51x, n_t_74x, n_t_34x, n_t_74x) if (~m2_l) begin n_t_101x_m <= 1'b0; end else if (~(~v2)) begin n_t_101x_m <= n_t_51x & n_t_74x | n_t_34x & ~n_t_74x; end always @(v2, m2_l, n_t_101x_m) if (~m2_l) begin n_t_101x <= 1'b0; end else if (~v2) begin n_t_101x <= n_t_101x_m; end // e14: sn7404 assign l2 = ~n_t_42x; // open collector 'wire-or's assign m1 = n_t_50x | n_t_52x | n_t_54x | n_t_41x | n_t_39x | n_t_42x | n_t_38x? 1'b0: 1'bz; assign m2_l = m2? ~m2: 1'bz; assign n1 = n_t_51x? ~n_t_51x: 1'bz; assign n_t_152x = ~((~f1 & ~e1 | ~gdollar_2 & e1)); assign n_t_153x = ~((~d1 & ~e1 | ~gdollar_1 & e1)); assign n_t_20x = ~((~j1 & ~e1 | ~gdollar_3 & e1)); assign n_t_21x = ~((~u2 & ~e1 | s_t_1 & e1)); assign n_t_31x = ~((~t2 & ~e1 | ~gdollar_4 & e1)); assign n_t_34x = ~((~r1 & ~e1 | ~gdollar_6 & e1)); assign n_t_35x = ~((~h1 & ~e1 | s_t_4 & e1)); assign n_t_36x = ~((~s1 & ~e1 | ~gdollar_5 & e1)); assign p1_l = p1? ~p1: 1'bz; endmodule