set_location_assignment PIN_ -to n_t_21x set_location_assignment PIN_ -to n_t_4x set_location_assignment PIN_ -to ac10 set_location_assignment PIN_ -to ac11 set_location_assignment PIN_ -to ac4 set_location_assignment PIN_ -to ac5 set_location_assignment PIN_ -to ac6 set_location_assignment PIN_ -to ac7 set_location_assignment PIN_ -to ac8 set_location_assignment PIN_ -to ac9 set_location_assignment PIN_ -to clear_l set_location_assignment PIN_ -to clocka set_location_assignment PIN_ -to clockb set_location_assignment PIN_ -to del_pun1 set_location_assignment PIN_ -to feed_sw_l set_location_assignment PIN_ -to initialize_l set_location_assignment PIN_ -to io_in_int_l set_location_assignment PIN_ -to io_in_skip_l set_location_assignment PIN_ -to iop1 set_location_assignment PIN_ -to iop2 set_location_assignment PIN_ -to iop4 set_location_assignment PIN_ -to iop_02 set_location_assignment PIN_ -to mb3_lp_0_rp set_location_assignment PIN_ -to mb4_lp_0_rp set_location_assignment PIN_ -to mb5_lp_0_rp set_location_assignment PIN_ -to mb6_lp_0_rp set_location_assignment PIN_ -to mb7_lp_1_rp set_location_assignment PIN_ -to mb8_lp_0_rp set_location_assignment PIN_ -to n4_5usec set_location_assignment PIN_ -to n_t_10x set_location_assignment PIN_ -to n_t_11x set_location_assignment PIN_ -to n_t_12x set_location_assignment PIN_ -to n_t_19x set_location_assignment PIN_ -to n_t_1x set_location_assignment PIN_ -to n_t_23x set_location_assignment PIN_ -to n_t_6x set_location_assignment PIN_ -to n_t_9x set_location_assignment PIN_ -to pb0 set_location_assignment PIN_ -to pb1 set_location_assignment PIN_ -to pb2 set_location_assignment PIN_ -to pb3 set_location_assignment PIN_ -to pb4 set_location_assignment PIN_ -to pb5 set_location_assignment PIN_ -to pb6 set_location_assignment PIN_ -to pb7 set_location_assignment PIN_ -to pun_active_l set_location_assignment PIN_ -to pun_done set_location_assignment PIN_ -to sync_bias set_location_assignment PIN_ -to sync_pun