set_location_assignment PIN_ -to data_strobe set_location_assignment PIN_ -to i00 set_location_assignment PIN_ -to i01 set_location_assignment PIN_ -to i02 set_location_assignment PIN_ -to i03 set_location_assignment PIN_ -to i04 set_location_assignment PIN_ -to i05 set_location_assignment PIN_ -to i06 set_location_assignment PIN_ -to i07 set_location_assignment PIN_ -to i08 set_location_assignment PIN_ -to i09 set_location_assignment PIN_ -to i10 set_location_assignment PIN_ -to i11 set_location_assignment PIN_ -to n3v set_location_assignment PIN_ -to n_t_13x set_location_assignment PIN_ -to n_t_18x set_location_assignment PIN_ -to n_t_22x set_location_assignment PIN_ -to n_t_25x set_location_assignment PIN_ -to n_t_34x set_location_assignment PIN_ -to aj2 set_location_assignment PIN_ -to ak2 set_location_assignment PIN_ -to al2 set_location_assignment PIN_ -to c1_l set_location_assignment PIN_ -to data0_l set_location_assignment PIN_ -to data10_l set_location_assignment PIN_ -to data11_l set_location_assignment PIN_ -to data1_l set_location_assignment PIN_ -to data2_l set_location_assignment PIN_ -to data3_l set_location_assignment PIN_ -to data4_l set_location_assignment PIN_ -to data5_l set_location_assignment PIN_ -to data6_l set_location_assignment PIN_ -to data7_l set_location_assignment PIN_ -to data8_l set_location_assignment PIN_ -to data9_l set_location_assignment PIN_ -to dclr set_location_assignment PIN_ -to initialize set_location_assignment PIN_ -to int_rqst_l set_location_assignment PIN_ -to internal_io_l set_location_assignment PIN_ -to io_pause_l set_location_assignment PIN_ -to itclk set_location_assignment PIN_ -to md03_l set_location_assignment PIN_ -to md04_l set_location_assignment PIN_ -to md05_l set_location_assignment PIN_ -to md06_l set_location_assignment PIN_ -to md07_l set_location_assignment PIN_ -to md08_l set_location_assignment PIN_ -to md09_l set_location_assignment PIN_ -to md10_l set_location_assignment PIN_ -to md11_l set_location_assignment PIN_ -to n_t_11x set_location_assignment PIN_ -to n_t_12x set_location_assignment PIN_ -to n_t_14x set_location_assignment PIN_ -to n_t_28x set_location_assignment PIN_ -to n_t_6x set_location_assignment PIN_ -to n_t_7x set_location_assignment PIN_ -to n_t_9x set_location_assignment PIN_ -to rcni set_location_assignment PIN_ -to rcra set_location_assignment PIN_ -to rcrb set_location_assignment PIN_ -to rcrc set_location_assignment PIN_ -to rcse set_location_assignment PIN_ -to rdy set_location_assignment PIN_ -to rdy2_l set_location_assignment PIN_ -to read set_location_assignment PIN_ -to skip_l set_location_assignment PIN_ -to tclr_l set_location_assignment PIN_ -to tp3