{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1700313839415 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839424 ""} { "Info" "IQEXE_START_BANNER_TIME" "Sat Nov 18 05:23:58 2023 " "Processing started: Sat Nov 18 05:23:58 2023" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1700313839424 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1700313839424 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta cpld -c cpld " "Command: quartus_sta cpld -c cpld" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1700313839424 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1700313839504 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1700313839704 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1700313839714 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1700313839796 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "cpld.sdc " "Synopsys Design Constraints File file not found: 'cpld.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1700313839844 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1700313839854 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m8650d:m8650d\|gdollar_3 m8650d:m8650d\|gdollar_3 " "create_clock -period 1.000 -name m8650d:m8650d\|gdollar_3 m8650d:m8650d\|gdollar_3" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m8650d:m8650d\|gdollar_2 m8650d:m8650d\|gdollar_2 " "create_clock -period 1.000 -name m8650d:m8650d\|gdollar_2 m8650d:m8650d\|gdollar_2" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m8650d:m8650d\|rx_rate m8650d:m8650d\|rx_rate " "create_clock -period 1.000 -name m8650d:m8650d\|rx_rate m8650d:m8650d\|rx_rate" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name cf0 cf0 " "create_clock -period 1.000 -name cf0 cf0" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd2400 bd2400 " "create_clock -period 1.000 -name bd2400 bd2400" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd4800 bd4800 " "create_clock -period 1.000 -name bd4800 bd4800" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd9600 bd9600 " "create_clock -period 1.000 -name bd9600 bd9600" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd19200 bd19200 " "create_clock -period 1.000 -name bd19200 bd19200" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd38400 bd38400 " "create_clock -period 1.000 -name bd38400 bd38400" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name n_t_1x n_t_1x " "create_clock -period 1.000 -name n_t_1x n_t_1x" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd115200 bd115200 " "create_clock -period 1.000 -name bd115200 bd115200" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name clk clk " "create_clock -period 1.000 -name clk clk" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd1200 bd1200 " "create_clock -period 1.000 -name bd1200 bd1200" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name bd600 bd600 " "create_clock -period 1.000 -name bd600 bd600" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839868 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|line~10\|\[3\] " "Node \"m8650d\|line~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|line~10\|dataout " "Node \"m8650d\|line~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 122 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|line_m~10\|dataout " "Node \"m8650d\|line_m~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|line_m~10\|\[3\] " "Node \"m8650d\|line_m~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 180 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_data~9\|dataout " "Node \"m8650d\|tx_data~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_data~9\|\[3\] " "Node \"m8650d\|tx_data~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 251 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_data_m~16\|dataout " "Node \"m8650d\|tx_data_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_data_m~16\|\[2\] " "Node \"m8650d\|tx_data_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 211 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|start_l~9\|dataout " "Node \"m8650d\|start_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|start_l~9\|\[2\] " "Node \"m8650d\|start_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 236 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|start_l_m~8\|dataout " "Node \"m8650d\|start_l_m~8\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|start_l_m~8\|\[1\] " "Node \"m8650d\|start_l_m~8\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 208 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|tflg_l~10\|dataout " "Node \"m8650d\|tflg_l~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tflg_l~10\|\[3\] " "Node \"m8650d\|tflg_l~10\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 252 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|tflg_l_m~10\|dataout " "Node \"m8650d\|tflg_l_m~10\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tflg_l_m~10\|\[2\] " "Node \"m8650d\|tflg_l_m~10\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 209 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_LOOP" "119 " "Found combinational loop of 119 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|dataout " "Node \"m8650d\|n_t_18x~8sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp3\|datain\[1\] " "Node \"m8650d\|n_t_108x~3sexp3\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp3\|dataout " "Node \"m8650d\|n_t_108x~3sexp3\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l_m~9\|\[5\] " "Node \"m8650d\|tx_active_l_m~9\|\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l_m~9\|dataout " "Node \"m8650d\|tx_active_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l_m~9\|\[1\] " "Node \"m8650d\|tx_active_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l~9\|\[0\] " "Node \"m8650d\|tx_active_l~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l~9\|dataout " "Node \"m8650d\|tx_active_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l~9\|\[2\] " "Node \"m8650d\|tx_active_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp3\|datain\[0\] " "Node \"m8650d\|n_t_108x~3sexp3\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x_m~9\|\[0\] " "Node \"m8650d\|n_t_146x_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x_m~9\|dataout " "Node \"m8650d\|n_t_146x_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x_m~9\|\[3\] " "Node \"m8650d\|n_t_146x_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x~9\|\[0\] " "Node \"m8650d\|n_t_146x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x~9\|dataout " "Node \"m8650d\|n_t_146x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x~9\|\[3\] " "Node \"m8650d\|n_t_146x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp1\|datain\[1\] " "Node \"m8650d\|n_t_108x~3sexp1\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp1\|dataout " "Node \"m8650d\|n_t_108x~3sexp1\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l_m~9\|\[3\] " "Node \"m8650d\|tx_active_l_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_94x~1sexp\|datain\[1\] " "Node \"m8650d\|n_t_94x~1sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_94x~1sexp\|dataout " "Node \"m8650d\|n_t_94x~1sexp\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_active_l_m~9\|\[4\] " "Node \"m8650d\|tx_active_l_m~9\|\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div_m~9\|\[3\] " "Node \"m8650d\|tx_div_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div_m~9\|dataout " "Node \"m8650d\|tx_div_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div_m~9\|\[1\] " "Node \"m8650d\|tx_div_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div~9\|\[0\] " "Node \"m8650d\|tx_div~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div~9\|dataout " "Node \"m8650d\|tx_div~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|tx_div~9\|\[2\] " "Node \"m8650d\|tx_div~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x~9\|\[1\] " "Node \"m8650d\|n_t_146x~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_146x_m~9\|\[1\] " "Node \"m8650d\|n_t_146x_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_57x~2\|\[1\] " "Node \"m8650d\|n_t_57x~2\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_57x~2\|dataout " "Node \"m8650d\|n_t_57x~2\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x~9\|\[2\] " "Node \"m8650d\|n_t_66x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x~9\|dataout " "Node \"m8650d\|n_t_66x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x~9\|\[3\] " "Node \"m8650d\|n_t_66x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[6\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[6\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~16\|\[2\] " "Node \"m8650d\|n_t_66x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~16\|dataout " "Node \"m8650d\|n_t_66x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~16\|\[3\] " "Node \"m8650d\|n_t_66x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x~9\|\[0\] " "Node \"m8650d\|n_t_66x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x~9\|\[2\] " "Node \"m8650d\|n_t_65x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x~9\|dataout " "Node \"m8650d\|n_t_65x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~7\|\[0\] " "Node \"m8650d\|n_t_66x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~7\|dataout " "Node \"m8650d\|n_t_66x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_66x_m~16\|\[0\] " "Node \"m8650d\|n_t_66x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x~9\|\[3\] " "Node \"m8650d\|n_t_65x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[1\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~16\|\[2\] " "Node \"m8650d\|n_t_65x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~16\|dataout " "Node \"m8650d\|n_t_65x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~16\|\[3\] " "Node \"m8650d\|n_t_65x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x~9\|\[0\] " "Node \"m8650d\|n_t_65x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x~9\|\[2\] " "Node \"m8650d\|n_t_63x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x~9\|dataout " "Node \"m8650d\|n_t_63x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[2\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x~9\|\[3\] " "Node \"m8650d\|n_t_63x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~7\|\[0\] " "Node \"m8650d\|n_t_65x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~7\|dataout " "Node \"m8650d\|n_t_65x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_65x_m~16\|\[0\] " "Node \"m8650d\|n_t_65x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~16\|\[2\] " "Node \"m8650d\|n_t_63x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~16\|dataout " "Node \"m8650d\|n_t_63x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~16\|\[3\] " "Node \"m8650d\|n_t_63x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x~9\|\[0\] " "Node \"m8650d\|n_t_63x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x~9\|\[2\] " "Node \"m8650d\|n_t_61x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x~9\|dataout " "Node \"m8650d\|n_t_61x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[4\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[4\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x~9\|\[3\] " "Node \"m8650d\|n_t_61x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~7\|\[0\] " "Node \"m8650d\|n_t_63x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~7\|dataout " "Node \"m8650d\|n_t_63x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_63x_m~16\|\[0\] " "Node \"m8650d\|n_t_63x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~16\|\[2\] " "Node \"m8650d\|n_t_61x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~16\|dataout " "Node \"m8650d\|n_t_61x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~16\|\[3\] " "Node \"m8650d\|n_t_61x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x~9\|\[0\] " "Node \"m8650d\|n_t_61x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x~9\|\[2\] " "Node \"m8650d\|n_t_56x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x~9\|dataout " "Node \"m8650d\|n_t_56x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[5\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[5\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x~9\|\[3\] " "Node \"m8650d\|n_t_56x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~7\|\[0\] " "Node \"m8650d\|n_t_61x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~7\|dataout " "Node \"m8650d\|n_t_61x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_61x_m~16\|\[0\] " "Node \"m8650d\|n_t_61x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~16\|\[2\] " "Node \"m8650d\|n_t_56x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~16\|dataout " "Node \"m8650d\|n_t_56x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~16\|\[3\] " "Node \"m8650d\|n_t_56x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x~9\|\[0\] " "Node \"m8650d\|n_t_56x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x~9\|\[2\] " "Node \"m8650d\|n_t_62x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x~9\|dataout " "Node \"m8650d\|n_t_62x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~7\|\[0\] " "Node \"m8650d\|n_t_56x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~7\|dataout " "Node \"m8650d\|n_t_56x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_56x_m~16\|\[0\] " "Node \"m8650d\|n_t_56x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x~9\|\[3\] " "Node \"m8650d\|n_t_62x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[0\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~16\|\[2\] " "Node \"m8650d\|n_t_62x_m~16\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~16\|dataout " "Node \"m8650d\|n_t_62x_m~16\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~16\|\[3\] " "Node \"m8650d\|n_t_62x_m~16\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x~9\|\[0\] " "Node \"m8650d\|n_t_62x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x~9\|\[2\] " "Node \"m8650d\|n_t_60x~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x~9\|dataout " "Node \"m8650d\|n_t_60x~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[7\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[7\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x~9\|\[3\] " "Node \"m8650d\|n_t_60x~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~7\|\[0\] " "Node \"m8650d\|n_t_62x_m~7\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~7\|dataout " "Node \"m8650d\|n_t_62x_m~7\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_62x_m~16\|\[0\] " "Node \"m8650d\|n_t_62x_m~16\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_m~9\|\[2\] " "Node \"m8650d\|n_t_60x_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_m~9\|dataout " "Node \"m8650d\|n_t_60x_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_m~9\|\[3\] " "Node \"m8650d\|n_t_60x_m~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x~9\|\[0\] " "Node \"m8650d\|n_t_60x~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab~9\|\[2\] " "Node \"m8650d\|enab~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab~9\|dataout " "Node \"m8650d\|enab~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_18x~8sexp\|datain\[3\] " "Node \"m8650d\|n_t_18x~8sexp\|datain\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_d~3\|\[0\] " "Node \"m8650d\|n_t_60x_d~3\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_d~3\|dataout " "Node \"m8650d\|n_t_60x_d~3\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_60x_m~9\|\[0\] " "Node \"m8650d\|n_t_60x_m~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab~9\|\[3\] " "Node \"m8650d\|enab~9\|\[3\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_108x~3sexp1\|datain\[0\] " "Node \"m8650d\|n_t_108x~3sexp1\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab_m~9\|\[1\] " "Node \"m8650d\|enab_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab_m~9\|dataout " "Node \"m8650d\|enab_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab_m~9\|\[2\] " "Node \"m8650d\|enab_m~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|enab~9\|\[0\] " "Node \"m8650d\|enab~9\|\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|n_t_94x~1sexp\|datain\[0\] " "Node \"m8650d\|n_t_94x~1sexp\|datain\[0\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839876 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 274 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 272 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 210 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 235 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 182 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 136 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 302 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 212 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 231 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 292 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 250 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 199 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 249 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 198 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 248 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 197 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 246 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 195 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 245 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 193 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 244 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 196 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 243 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 194 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 247 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 959 -1 0 } } { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 172 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839876 ""} { "Critical Warning" "WSTA_SCC_LOOP_TOO_BIG" "119 " "Design contains combinational loop of 119 nodes. Estimating the delays through the loop." { } { } 1 332081 "Design contains combinational loop of %1!d! nodes. Estimating the delays through the loop." 0 0 "Quartus II" 0 -1 1700313839884 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|int_enab_l~9\|dataout " "Node \"m8650d\|int_enab_l~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839884 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|int_enab_l~9\|\[2\] " "Node \"m8650d\|int_enab_l~9\|\[2\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839884 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 253 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839884 ""} { "Warning" "WSTA_SCC_LOOP" "2 " "Found combinational loop of 2 nodes" { { "Warning" "WSTA_SCC_NODE" "m8650d\|int_enab_l_m~9\|dataout " "Node \"m8650d\|int_enab_l_m~9\|dataout\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839884 ""} { "Warning" "WSTA_SCC_NODE" "m8650d\|int_enab_l_m~9\|\[1\] " "Node \"m8650d\|int_enab_l_m~9\|\[1\]\"" { } { } 0 332126 "Node \"%1!s!\"" 0 0 "Quartus II" 0 -1 1700313839884 ""} } { { "M8650D.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/32k-Omnibus/M8650D.v" 178 -1 0 } } } 0 332125 "Found combinational loop of %1!d! nodes" 0 0 "Quartus II" 0 -1 1700313839884 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1700313839914 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1700313839974 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -9.000 " "Worst-case setup slack is -9.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -37.500 bd1200 " " -9.000 -37.500 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -9.000 bd600 " " -9.000 -9.000 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -9.000 m8650d:m8650d\|gdollar_3 " " -9.000 -9.000 m8650d:m8650d\|gdollar_3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.000 -6.000 clk " " -6.000 -6.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd115200 " " -1.500 -1.500 bd115200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd19200 " " -1.500 -1.500 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd2400 " " -1.500 -1.500 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd38400 " " -1.500 -1.500 bd38400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd4800 " " -1.500 -1.500 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 bd9600 " " -1.500 -1.500 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 m8650d:m8650d\|gdollar_2 " " -1.500 -1.500 m8650d:m8650d\|gdollar_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 m8650d:m8650d\|rx_rate " " -1.500 -1.500 m8650d:m8650d\|rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -1.500 -1.500 n_t_1x " " -1.500 -1.500 n_t_1x " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.000 0.000 cf0 " " 7.000 0.000 cf0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1700313839987 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -12.300 " "Worst-case hold slack is -12.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -12.300 -12.300 cf0 " " -12.300 -12.300 cf0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.800 -11.800 bd600 " " -11.800 -11.800 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.500 -11.500 clk " " -11.500 -11.500 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.000 -14.000 bd115200 " " -11.000 -14.000 bd115200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.000 -14.000 bd1200 " " -11.000 -14.000 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.000 -14.000 bd38400 " " -11.000 -14.000 bd38400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.000 -14.000 bd9600 " " -11.000 -14.000 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 bd19200 " " -3.000 -3.000 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 bd2400 " " -3.000 -3.000 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 bd4800 " " -3.000 -3.000 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 m8650d:m8650d\|gdollar_2 " " -3.000 -3.000 m8650d:m8650d\|gdollar_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 m8650d:m8650d\|rx_rate " " -3.000 -3.000 m8650d:m8650d\|rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -3.000 n_t_1x " " -3.000 -3.000 n_t_1x " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 m8650d:m8650d\|gdollar_3 " " 5.000 0.000 m8650d:m8650d\|gdollar_3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1700313839994 ""} { "Info" "ISTA_WORST_CASE_SLACK" "recovery -4.500 " "Worst-case recovery slack is -4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -4.500 bd115200 " " -4.500 -4.500 bd115200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840004 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.500 -4.500 n_t_1x " " -4.500 -4.500 n_t_1x " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840004 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1700313840004 ""} { "Info" "ISTA_WORST_CASE_SLACK" "removal 0.000 " "Worst-case removal slack is 0.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840019 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840019 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.000 0.000 bd115200 " " 0.000 0.000 bd115200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840019 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.000 0.000 n_t_1x " " 0.000 0.000 n_t_1x " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840019 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1700313840019 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -4.300 " "Worst-case minimum pulse width slack is -4.300" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -4.300 -16.800 cf0 " " -4.300 -16.800 cf0 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -42.000 bd1200 " " -3.500 -42.000 bd1200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 bd115200 " " -3.500 -14.000 bd115200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 bd38400 " " -3.500 -14.000 bd38400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 bd600 " " -3.500 -14.000 bd600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 bd9600 " " -3.500 -14.000 bd9600 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 clk " " -3.500 -14.000 clk " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 bd19200 " " -3.500 -7.000 bd19200 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 bd2400 " " -3.500 -7.000 bd2400 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 bd4800 " " -3.500 -7.000 bd4800 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 m8650d:m8650d\|gdollar_2 " " -3.500 -7.000 m8650d:m8650d\|gdollar_2 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 m8650d:m8650d\|gdollar_3 " " -3.500 -7.000 m8650d:m8650d\|gdollar_3 " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 m8650d:m8650d\|rx_rate " " -3.500 -7.000 m8650d:m8650d\|rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 n_t_1x " " -3.500 -7.000 n_t_1x " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1700313840024 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1700313840474 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1700313840514 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1700313840514 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 154 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 154 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4523 " "Peak virtual memory: 4523 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1700313840625 ""} { "Info" "IQEXE_END_BANNER_TIME" "Sat Nov 18 05:24:00 2023 " "Processing ended: Sat Nov 18 05:24:00 2023" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1700313840625 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1700313840625 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1700313840625 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1700313840625 ""}