{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1712870260480 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260480 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 11 14:17:40 2024 " "Processing started: Thu Apr 11 14:17:40 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712870260480 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1712870260480 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta e11 -c e11 " "Command: quartus_sta e11 -c e11" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1712870260480 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1712870260543 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1712870260840 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1712870260840 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1712870260872 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "e11.sdc " "Synopsys Design Constraints File file not found: 'e11.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name rx_rate rx_rate " "create_clock -period 1.000 -name rx_rate rx_rate" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name tx_ratem tx_ratem " "create_clock -period 1.000 -name tx_ratem tx_ratem" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m707:m707\|tto_shift m707:m707\|tto_shift " "create_clock -period 1.000 -name m707:m707\|tto_shift m707:m707\|tto_shift" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name tx_ratei tx_ratei " "create_clock -period 1.000 -name tx_ratei tx_ratei" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712870260934 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1712870260934 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1712870260997 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -13.500 " "Worst-case setup slack is -13.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -13.500 -49.500 tx_ratei " " -13.500 -49.500 tx_ratei " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -90.000 m707:m707\|tto_shift " " -9.000 -90.000 m707:m707\|tto_shift " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -9.000 tx_ratem " " -9.000 -9.000 tx_ratem " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -6.000 -6.000 rx_rate " " -6.000 -6.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712870261013 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold 1.500 " "Worst-case hold slack is 1.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.500 0.000 rx_rate " " 1.500 0.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.500 0.000 tx_ratei " " 1.500 0.000 tx_ratei " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 m707:m707\|tto_shift " " 5.000 0.000 m707:m707\|tto_shift " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 5.000 0.000 tx_ratem " " 5.000 0.000 tx_ratem " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712870261029 ""} { "Info" "ISTA_WORST_CASE_SLACK" "recovery -12.000 " "Worst-case recovery slack is -12.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261053 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261053 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -12.000 -12.000 m707:m707\|tto_shift " " -12.000 -12.000 m707:m707\|tto_shift " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261053 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -27.000 tx_ratei " " -9.000 -27.000 tx_ratei " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261053 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712870261053 ""} { "Info" "ISTA_WORST_CASE_SLACK" "removal 4.500 " "Worst-case removal slack is 4.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261060 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261060 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.500 0.000 tx_ratei " " 4.500 0.000 tx_ratei " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261060 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 8.000 0.000 m707:m707\|tto_shift " " 8.000 0.000 m707:m707\|tto_shift " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261060 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712870261060 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.500 " "Worst-case minimum pulse width slack is -3.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -77.000 m707:m707\|tto_shift " " -3.500 -77.000 m707:m707\|tto_shift " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -35.000 tx_ratei " " -3.500 -35.000 tx_ratei " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 rx_rate " " -3.500 -7.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 tx_ratem " " -3.500 -7.000 tx_ratem " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712870261076 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1712870261358 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712870261405 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712870261405 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 3 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 3 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4519 " "Peak virtual memory: 4519 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712870261546 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 11 14:17:41 2024 " "Processing ended: Thu Apr 11 14:17:41 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712870261546 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712870261546 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712870261546 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1712870261546 ""}