{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1712871328411 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328427 ""} { "Info" "IQEXE_START_BANNER_TIME" "Thu Apr 11 14:35:28 2024 " "Processing started: Thu Apr 11 14:35:28 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712871328427 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1712871328427 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta e2 -c e2 " "Command: quartus_sta e2 -c e2" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1712871328427 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1712871328475 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1712871328803 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1712871328803 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1712871328835 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "e2.sdc " "Synopsys Design Constraints File file not found: 'e2.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|clock_scale\[2\] m706:m706\|clock_scale\[2\] " "create_clock -period 1.000 -name m706:m706\|clock_scale\[2\] m706:m706\|clock_scale\[2\]" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|in_last_unit m706:m706\|in_last_unit " "create_clock -period 1.000 -name m706:m706\|in_last_unit m706:m706\|in_last_unit" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name rx_rate rx_rate " "create_clock -period 1.000 -name rx_rate rx_rate" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|in_active m706:m706\|in_active " "create_clock -period 1.000 -name m706:m706\|in_active m706:m706\|in_active" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name rx_data rx_data " "create_clock -period 1.000 -name rx_data rx_data" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Warning" "WSTA_CLOCK_SOURCES_FEED_EACH_OTHER" "m706:m706\|in_active m706:m706\|in_active " "Clock target m706:m706\|in_active of clock m706:m706\|in_active is fed by another target of the same clock." { } { } 0 332191 "Clock target %1!s! of clock %2!s! is fed by another target of the same clock." 0 0 "Quartus II" 0 -1 1712871328898 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1712871328898 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1712871328976 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -9.000 " "Worst-case setup slack is -9.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -93.500 m706:m706\|clock_scale\[2\] " " -9.000 -93.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -83.000 m706:m706\|in_active " " -9.000 -83.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -27.000 rx_rate " " -9.000 -27.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712871328992 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -3.000 " "Worst-case hold slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -8.500 m706:m706\|clock_scale\[2\] " " -3.000 -8.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -5.500 m706:m706\|in_active " " -3.000 -5.500 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.500 0.000 rx_rate " " 1.500 0.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712871329007 ""} { "Info" "ISTA_WORST_CASE_SLACK" "recovery -27.500 " "Worst-case recovery slack is -27.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -39.500 m706:m706\|in_last_unit " " -27.500 -39.500 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -22.500 -84.500 m706:m706\|clock_scale\[2\] " " -22.500 -84.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -12.000 -64.000 m706:m706\|in_active " " -12.000 -64.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.500 -38.500 rx_rate " " -11.500 -38.500 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.500 -11.500 rx_data " " -11.500 -11.500 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712871329023 ""} { "Info" "ISTA_WORST_CASE_SLACK" "removal -8.000 " "Worst-case removal slack is -8.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.000 -13.000 m706:m706\|clock_scale\[2\] " " -8.000 -13.000 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.000 -8.000 m706:m706\|in_active " " -8.000 -8.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.000 0.000 m706:m706\|in_last_unit " " 0.000 0.000 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.500 0.000 rx_rate " " 4.500 0.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.500 0.000 rx_data " " 7.500 0.000 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712871329039 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.500 " "Worst-case minimum pulse width slack is -3.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -98.000 m706:m706\|clock_scale\[2\] " " -3.500 -98.000 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -84.000 m706:m706\|in_active " " -3.500 -84.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -28.000 rx_rate " " -3.500 -28.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 m706:m706\|in_last_unit " " -3.500 -14.000 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 rx_data " " -3.500 -7.000 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712871329054 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1712871329415 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712871329478 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712871329478 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4519 " "Peak virtual memory: 4519 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712871329635 ""} { "Info" "IQEXE_END_BANNER_TIME" "Thu Apr 11 14:35:29 2024 " "Processing ended: Thu Apr 11 14:35:29 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712871329635 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712871329635 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712871329635 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1712871329635 ""}