{ "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1712797392359 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Analysis & Synthesis Quartus II 64-Bit " "Running Quartus II 64-Bit Analysis & Synthesis" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712797392359 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 10 18:03:12 2024 " "Processing started: Wed Apr 10 18:03:12 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712797392359 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1712797392359 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_map --read_settings_files=on --write_settings_files=off m706 -c m706 " "Command: quartus_map --read_settings_files=on --write_settings_files=off m706 -c m706" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1712797392359 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "e2.v 1 1 " "Found 1 design units, including 1 entities, in source file e2.v" { { "Info" "ISGN_ENTITY_NAME" "1 e2 " "Found entity 1: e2" { } { { "e2.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/e2.v" 36 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1712797393646 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1712797393646 ""} { "Info" "ISGN_NUM_OF_DESIGN_UNITS_AND_ENTITIES" "m706.v 1 1 " "Found 1 design units, including 1 entities, in source file m706.v" { { "Info" "ISGN_ENTITY_NAME" "1 m706 " "Found entity 1: m706" { } { { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 2 -1 0 } } } 0 12023 "Found entity %1!d!: %2!s!" 0 0 "Quartus II" 0 -1 1712797393693 ""} } { } 0 12021 "Found %2!llu! design units, including %3!llu! entities, in source file %1!s!" 0 0 "Quartus II" 0 -1 1712797393693 ""} { "Info" "ISGN_START_ELABORATION_TOP" "e2 " "Elaborating entity \"e2\" for the top level hierarchy" { } { } 0 12127 "Elaborating entity \"%1!s!\" for the top level hierarchy" 0 0 "Quartus II" 0 -1 1712797393756 ""} { "Info" "ISGN_START_ELABORATION_HIERARCHY" "m706 m706:m706 " "Elaborating entity \"m706\" for hierarchy \"m706:m706\"" { } { { "e2.v" "m706" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/e2.v" 92 0 0 } } } 0 12128 "Elaborating entity \"%1!s!\" for hierarchy \"%2!s!\"" 0 0 "Quartus II" 0 -1 1712797393803 ""} { "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 3 m706.v(136) " "Verilog HDL assignment warning at m706.v(136): truncated value with size 32 to match size of target (3)" { } { { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 136 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1712797393818 "|e2|m706:m706"} { "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 m706.v(178) " "Verilog HDL assignment warning at m706.v(178): truncated value with size 32 to match size of target (8)" { } { { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 178 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1712797393818 "|e2|m706:m706"} { "Warning" "WVRFX_L2_VERI_EXPRESSION_TRUNCATED_TO_FIT" "32 8 m706.v(186) " "Verilog HDL assignment warning at m706.v(186): truncated value with size 32 to match size of target (8)" { } { { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 186 0 0 } } } 0 10230 "Verilog HDL assignment warning at %3!s!: truncated value with size %1!d! to match size of target (%2!d!)" 0 0 "Quartus II" 0 -1 1712797393818 "|e2|m706:m706"} { "Info" "IMLS_MLS_PRESET_POWER_UP" "" "Registers with preset signals will power-up high" { } { { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 80 -1 0 } } { "m706.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v" 180 -1 0 } } } 0 13000 "Registers with preset signals will power-up high" 0 0 "Quartus II" 0 -1 1712797394117 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL" "" "Promoted pin-driven signal(s) to global signal" { { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLEAR" "init_l " "Promoted clear signal driven by pin \"init_l\" to global clear signal" { } { } 0 280015 "Promoted clear signal driven by pin \"%1!s!\" to global clear signal" 0 0 "Quartus II" 0 -1 1712797394148 ""} { "Info" "IMTM_MTM_PROMOTE_GLOBAL_CLOCK" "rx_rate " "Promoted clock signal driven by pin \"rx_rate\" to global clock signal" { } { } 0 280014 "Promoted clock signal driven by pin \"%1!s!\" to global clock signal" 0 0 "Quartus II" 0 -1 1712797394148 ""} } { } 0 280013 "Promoted pin-driven signal(s) to global signal" 0 0 "Quartus II" 0 -1 1712797394148 ""} { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN_HDR" "1 " "Design contains 1 input pin(s) that do not drive logic" { { "Warning" "WCUT_CUT_UNNECESSARY_INPUT_PIN" "tx_sel " "No output dependent on input pin \"tx_sel\"" { } { { "e2.v" "" { Text "//wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/e2.v" 40 -1 0 } } } 0 15610 "No output dependent on input pin \"%1!s!\"" 0 0 "Quartus II" 0 -1 1712797394195 "|e2|tx_sel"} } { } 0 21074 "Design contains %1!d! input pin(s) that do not drive logic" 0 0 "Quartus II" 0 -1 1712797394195 ""} { "Info" "ICUT_CUT_TM_SUMMARY" "61 " "Implemented 61 device resources after synthesis - the final resource count might be different" { { "Info" "ICUT_CUT_TM_IPINS" "8 " "Implemented 8 input pins" { } { } 0 21058 "Implemented %1!d! input pins" 0 0 "Quartus II" 0 -1 1712797394211 ""} { "Info" "ICUT_CUT_TM_OPINS" "17 " "Implemented 17 output pins" { } { } 0 21059 "Implemented %1!d! output pins" 0 0 "Quartus II" 0 -1 1712797394211 ""} { "Info" "ICUT_CUT_TM_MCELLS" "33 " "Implemented 33 macrocells" { } { } 0 21063 "Implemented %1!d! macrocells" 0 0 "Quartus II" 0 -1 1712797394211 ""} { "Info" "ICUT_CUT_TM_SEXPS" "3 " "Implemented 3 shareable expanders" { } { } 0 21073 "Implemented %1!d! shareable expanders" 0 0 "Quartus II" 0 -1 1712797394211 ""} } { } 0 21057 "Implemented %1!d! device resources after synthesis - the final resource count might be different" 0 0 "Quartus II" 0 -1 1712797394211 ""} { "Info" "IQEXE_ERROR_COUNT" "Analysis & Synthesis 0 s 5 s Quartus II 64-Bit " "Quartus II 64-Bit Analysis & Synthesis was successful. 0 errors, 5 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4568 " "Peak virtual memory: 4568 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712797394446 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 10 18:03:14 2024 " "Processing ended: Wed Apr 10 18:03:14 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712797394446 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712797394446 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:01 " "Total CPU time (on all processors): 00:00:01" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712797394446 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1712797394446 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Quartus II" 0 -1 1712797395899 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Fitter Quartus II 64-Bit " "Running Quartus II 64-Bit Fitter" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712797395899 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 10 18:03:15 2024 " "Processing started: Wed Apr 10 18:03:15 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712797395899 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Fitter" 0 -1 1712797395899 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_fit --read_settings_files=off --write_settings_files=off m706 -c m706 " "Command: quartus_fit --read_settings_files=off --write_settings_files=off m706 -c m706" { } { } 0 0 "Command: %1!s!" 0 0 "Fitter" 0 -1 1712797395899 ""} { "Info" "0" "" "qfit2_default_script.tcl version: #1" { } { } 0 0 "qfit2_default_script.tcl version: #1" 0 0 "Fitter" 0 0 1712797396779 ""} { "Info" "0" "" "Project = m706" { } { } 0 0 "Project = m706" 0 0 "Fitter" 0 0 1712797396779 ""} { "Info" "0" "" "Revision = m706" { } { } 0 0 "Revision = m706" 0 0 "Fitter" 0 0 1712797396779 ""} { "Info" "IMPP_MPP_USER_DEVICE" "m706 EPM7064SLC44-10 " "Selected device EPM7064SLC44-10 for design \"m706\"" { } { } 0 119006 "Selected device %2!s! for design \"%1!s!\"" 0 0 "Fitter" 0 -1 1712797397203 ""} { "Info" "IQEXE_ERROR_COUNT" "Fitter 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Fitter was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4691 " "Peak virtual memory: 4691 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712797399590 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 10 18:03:19 2024 " "Processing ended: Wed Apr 10 18:03:19 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712797399590 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:04 " "Elapsed time: 00:00:04" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712797399590 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712797399590 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Fitter" 0 -1 1712797399590 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Fitter" 0 -1 1712797400637 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "Assembler Quartus II 64-Bit " "Running Quartus II 64-Bit Assembler" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712797400637 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 10 18:03:20 2024 " "Processing started: Wed Apr 10 18:03:20 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712797400637 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Assembler" 0 -1 1712797400637 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_asm --read_settings_files=off --write_settings_files=off m706 -c m706 " "Command: quartus_asm --read_settings_files=off --write_settings_files=off m706 -c m706" { } { } 0 0 "Command: %1!s!" 0 0 "Assembler" 0 -1 1712797400637 ""} { "Info" "IASM_ASM_GENERATING_PROGRAMMING_FILES" "" "Assembler is generating device programming files" { } { } 0 115030 "Assembler is generating device programming files" 0 0 "Assembler" 0 -1 1712797400920 ""} { "Info" "IQEXE_ERROR_COUNT" "Assembler 0 s 0 s Quartus II 64-Bit " "Quartus II 64-Bit Assembler was successful. 0 errors, 0 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4526 " "Peak virtual memory: 4526 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712797401167 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 10 18:03:21 2024 " "Processing ended: Wed Apr 10 18:03:21 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712797401167 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:01 " "Elapsed time: 00:00:01" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712797401167 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712797401167 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Assembler" 0 -1 1712797401167 ""} { "Info" "IFLOW_DISABLED_MODULE" "PowerPlay Power Analyzer FLOW_ENABLE_POWER_ANALYZER " "Skipped module PowerPlay Power Analyzer due to the assignment FLOW_ENABLE_POWER_ANALYZER" { } { } 0 293026 "Skipped module %1!s! due to the assignment %2!s!" 0 0 "Assembler" 0 -1 1712797401832 ""} { "Info" "IQEXE_SEPARATOR" "" "*******************************************************************" { } { } 3 0 "*******************************************************************" 0 0 "Assembler" 0 -1 1712797402474 ""} { "Info" "IQEXE_START_BANNER_PRODUCT" "TimeQuest Timing Analyzer Quartus II 64-Bit " "Running Quartus II 64-Bit TimeQuest Timing Analyzer" { { "Info" "IQEXE_START_BANNER_VERSION" "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition " "Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition" { } { } 0 0 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402487 ""} { "Info" "IQEXE_START_BANNER_TIME" "Wed Apr 10 18:03:21 2024 " "Processing started: Wed Apr 10 18:03:21 2024" { } { } 0 0 "Processing started: %1!s!" 0 0 "Quartus II" 0 -1 1712797402487 ""} } { } 4 0 "Running %2!s! %1!s!" 0 0 "Quartus II" 0 -1 1712797402487 ""} { "Info" "IQEXE_START_BANNER_COMMANDLINE" "quartus_sta m706 -c m706 " "Command: quartus_sta m706 -c m706" { } { } 0 0 "Command: %1!s!" 0 0 "Quartus II" 0 -1 1712797402487 ""} { "Info" "0" "" "qsta_default_script.tcl version: #1" { } { } 0 0 "qsta_default_script.tcl version: #1" 0 0 "Quartus II" 0 0 1712797402569 ""} { "Info" "IDAT_DAT_STARTED" "" "Started post-fitting delay annotation" { } { } 0 306004 "Started post-fitting delay annotation" 0 0 "Quartus II" 0 -1 1712797402820 ""} { "Info" "IDAT_DAT_COMPLETED" "" "Delay annotation completed successfully" { } { } 0 306005 "Delay annotation completed successfully" 0 0 "Quartus II" 0 -1 1712797402820 ""} { "Warning" "WTDB_ANALYZE_COMB_LATCHES_NOT_SUPPORTED" "" "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." { } { } 0 335095 "TimeQuest Timing Analyzer does not support the analysis of latches as synchronous elements for the currently selected device family." 0 0 "Quartus II" 0 -1 1712797402867 ""} { "Critical Warning" "WSTA_SDC_NOT_FOUND" "m706.sdc " "Synopsys Design Constraints File file not found: 'm706.sdc'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." { } { } 1 332012 "Synopsys Design Constraints File file not found: '%1!s!'. A Synopsys Design Constraints File is required by the TimeQuest Timing Analyzer to get proper timing constraints. Without it, the Compiler will not properly optimize the design." 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_NO_CLOCK_FOUND_DERIVING" "base clocks \"derive_clocks -period 1.0\" " "No user constrained base clocks found in the design. Calling \"derive_clocks -period 1.0\"" { } { } 0 332142 "No user constrained %1!s! found in the design. Calling %2!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "Deriving Clocks " "Deriving Clocks" { { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|clock_scale\[2\] m706:m706\|clock_scale\[2\] " "create_clock -period 1.000 -name m706:m706\|clock_scale\[2\] m706:m706\|clock_scale\[2\]" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|in_last_unit m706:m706\|in_last_unit " "create_clock -period 1.000 -name m706:m706\|in_last_unit m706:m706\|in_last_unit" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name rx_rate rx_rate " "create_clock -period 1.000 -name rx_rate rx_rate" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name m706:m706\|in_active m706:m706\|in_active " "create_clock -period 1.000 -name m706:m706\|in_active m706:m706\|in_active" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "ISTA_DERIVE_CLOCKS_INFO" "create_clock -period 1.000 -name rx_data rx_data " "create_clock -period 1.000 -name rx_data rx_data" { } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} } { } 0 332105 "%1!s!" 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Warning" "WSTA_CLOCK_SOURCES_FEED_EACH_OTHER" "m706:m706\|in_active m706:m706\|in_active " "Clock target m706:m706\|in_active of clock m706:m706\|in_active is fed by another target of the same clock." { } { } 0 332191 "Clock target %1!s! of clock %2!s! is fed by another target of the same clock." 0 0 "Quartus II" 0 -1 1712797402930 ""} { "Info" "0" "" "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" { } { } 0 0 "Found TIMEQUEST_REPORT_SCRIPT_INCLUDE_DEFAULT_ANALYSIS = ON" 0 0 "Quartus II" 0 0 1712797402945 ""} { "Critical Warning" "WSTA_TIMING_NOT_MET" "" "Timing requirements not met" { } { } 1 332148 "Timing requirements not met" 0 0 "Quartus II" 0 -1 1712797403008 ""} { "Info" "ISTA_WORST_CASE_SLACK" "setup -9.000 " "Worst-case setup slack is -9.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -93.500 m706:m706\|clock_scale\[2\] " " -9.000 -93.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -83.000 m706:m706\|in_active " " -9.000 -83.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -9.000 -27.000 rx_rate " " -9.000 -27.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712797403024 ""} { "Info" "ISTA_WORST_CASE_SLACK" "hold -3.000 " "Worst-case hold slack is -3.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -8.500 m706:m706\|clock_scale\[2\] " " -3.000 -8.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.000 -5.500 m706:m706\|in_active " " -3.000 -5.500 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 1.500 0.000 rx_rate " " 1.500 0.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712797403055 ""} { "Info" "ISTA_WORST_CASE_SLACK" "recovery -27.500 " "Worst-case recovery slack is -27.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -27.500 -39.500 m706:m706\|in_last_unit " " -27.500 -39.500 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -22.500 -84.500 m706:m706\|clock_scale\[2\] " " -22.500 -84.500 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -12.000 -64.000 m706:m706\|in_active " " -12.000 -64.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.500 -38.500 rx_rate " " -11.500 -38.500 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -11.500 -11.500 rx_data " " -11.500 -11.500 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712797403071 ""} { "Info" "ISTA_WORST_CASE_SLACK" "removal -8.000 " "Worst-case removal slack is -8.000" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.000 -13.000 m706:m706\|clock_scale\[2\] " " -8.000 -13.000 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -8.000 -8.000 m706:m706\|in_active " " -8.000 -8.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 0.000 0.000 m706:m706\|in_last_unit " " 0.000 0.000 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 4.500 0.000 rx_rate " " 4.500 0.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " 7.500 0.000 rx_data " " 7.500 0.000 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712797403088 ""} { "Info" "ISTA_WORST_CASE_SLACK" "minimum pulse width -3.500 " "Worst-case minimum pulse width slack is -3.500" { { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " Slack End Point TNS Clock " " Slack End Point TNS Clock " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" "========= ============= ===================== " "========= ============= =====================" { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -98.000 m706:m706\|clock_scale\[2\] " " -3.500 -98.000 m706:m706\|clock_scale\[2\] " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -84.000 m706:m706\|in_active " " -3.500 -84.000 m706:m706\|in_active " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -28.000 rx_rate " " -3.500 -28.000 rx_rate " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -14.000 m706:m706\|in_last_unit " " -3.500 -14.000 m706:m706\|in_last_unit " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_CREATE_TIMING_SUMMARY_INFO" " -3.500 -7.000 rx_data " " -3.500 -7.000 rx_data " { } { } 0 332119 "%1!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} } { } 0 332146 "Worst-case %1!s! slack is %2!s!" 0 0 "Quartus II" 0 -1 1712797403102 ""} { "Info" "ISTA_METASTABILITY_REPORT_DISABLED" "" "The selected device family is not supported by the report_metastability command." { } { } 0 332001 "The selected device family is not supported by the report_metastability command." 0 0 "Quartus II" 0 -1 1712797403463 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "setup " "Design is not fully constrained for setup requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712797403526 ""} { "Info" "ISTA_UCP_NOT_CONSTRAINED" "hold " "Design is not fully constrained for hold requirements" { } { } 0 332102 "Design is not fully constrained for %1!s! requirements" 0 0 "Quartus II" 0 -1 1712797403526 ""} { "Info" "IQEXE_ERROR_COUNT" "TimeQuest Timing Analyzer 0 s 4 s Quartus II 64-Bit " "Quartus II 64-Bit TimeQuest Timing Analyzer was successful. 0 errors, 4 warnings" { { "Info" "IQEXE_END_PEAK_VSIZE_MEMORY" "4520 " "Peak virtual memory: 4520 megabytes" { } { } 0 0 "Peak virtual memory: %1!s! megabytes" 0 0 "Quartus II" 0 -1 1712797403682 ""} { "Info" "IQEXE_END_BANNER_TIME" "Wed Apr 10 18:03:23 2024 " "Processing ended: Wed Apr 10 18:03:23 2024" { } { } 0 0 "Processing ended: %1!s!" 0 0 "Quartus II" 0 -1 1712797403682 ""} { "Info" "IQEXE_ELAPSED_TIME" "00:00:02 " "Elapsed time: 00:00:02" { } { } 0 0 "Elapsed time: %1!s!" 0 0 "Quartus II" 0 -1 1712797403682 ""} { "Info" "IQEXE_ELAPSED_CPU_TIME" "00:00:00 " "Total CPU time (on all processors): 00:00:00" { } { } 0 0 "Total CPU time (on all processors): %1!s!" 0 0 "Quartus II" 0 -1 1712797403682 ""} } { } 0 0 "%6!s! %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1712797403682 ""} { "Info" "IFLOW_ERROR_COUNT" "Full Compilation 0 s 9 s " "Quartus II Full Compilation was successful. 0 errors, 9 warnings" { } { } 0 293000 "Quartus II %1!s! was successful. %2!d! error%3!s!, %4!d! warning%5!s!" 0 0 "Quartus II" 0 -1 1712797404592 ""}