# -------------------------------------------------------------------------- # # # Copyright (C) 1991-2013 Altera Corporation # Your use of Altera Corporation's design tools, logic functions # and other software and tools, and its AMPP partner logic # functions, and any output files from any of the foregoing # (including device programming or simulation files), and any # associated documentation or information are expressly subject # to the terms and conditions of the Altera Program License # Subscription Agreement, Altera MegaCore Function License # Agreement, or other applicable license agreement, including, # without limitation, that your use is for the sole purpose of # programming logic devices manufactured by Altera and sold by # Altera or its authorized distributors. Please refer to the # applicable agreement for further details. # # -------------------------------------------------------------------------- # # # Quartus II 64-Bit # Version 13.0.1 Build 232 06/12/2013 Service Pack 1 SJ Web Edition # Date created = 16:50:44 April 01, 2024 # # -------------------------------------------------------------------------- # # # Notes: # # 1) The default values for assignments are stored in the file: # m706_assignment_defaults.qdf # If this file doesn't exist, see file: # assignment_defaults.qdf # # 2) Altera recommends that you do not modify this file. This # file is updated automatically by the Quartus II software # and any changes you make may be lost or overwritten. # # -------------------------------------------------------------------------- # set_global_assignment -name FAMILY MAX7000S set_global_assignment -name DEVICE "EPM7064SLC44-10" set_global_assignment -name TOP_LEVEL_ENTITY e2 set_global_assignment -name ORIGINAL_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_CREATION_TIME_DATE "16:50:44 APRIL 01, 2024" set_global_assignment -name LAST_QUARTUS_VERSION "13.0 SP1" set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files set_global_assignment -name DEVICE_FILTER_PIN_COUNT 44 set_global_assignment -name VERILOG_FILE //wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/e2.v set_global_assignment -name VERILOG_FILE //wsl.localhost/Debian/home/vrs/Verilog/projects/m706kyle/m706.v set_global_assignment -name RTLV_REMOVE_FANOUT_FREE_REGISTERS OFF set_global_assignment -name DEVICE_FILTER_PACKAGE PLCC set_global_assignment -name MAX7000_DEVICE_IO_STANDARD TTL set_location_assignment PIN_34 -to acclr_l set_location_assignment PIN_14 -to biop1 set_location_assignment PIN_9 -to biop2 set_location_assignment PIN_6 -to biop4 set_location_assignment PIN_40 -to dsrttl set_location_assignment PIN_1 -to init_l set_location_assignment PIN_24 -to iob0_l set_location_assignment PIN_20 -to iob1_l set_location_assignment PIN_19 -to iob2_l set_location_assignment PIN_17 -to iob3_l set_location_assignment PIN_16 -to iob4_l set_location_assignment PIN_11 -to iob5_l set_location_assignment PIN_12 -to iob6_l set_location_assignment PIN_8 -to iob7_l set_location_assignment PIN_5 -to iob8_l set_location_assignment PIN_25 -to iob9_l set_location_assignment PIN_21 -to iob10_l set_location_assignment PIN_18 -to iob11_l set_location_assignment PIN_29 -to irq_l set_location_assignment PIN_27 -to rx_data set_location_assignment PIN_43 -to rx_rate set_location_assignment PIN_26 -to rx_sel set_location_assignment PIN_28 -to skip_l set_location_assignment PIN_31 -to tx_sel set_location_assignment PIN_36 -to txdttl