Version 4.45.1 JEDEC file for: ATF1502 PLCC44 Created on: Sun Apr 14 17:25:10 2024 * QF16808* QP44 * F0* NOTE 0 0 0 0 0 * L192 1111111111111111 1111111111111111111111111111111111111111 1111111111111011111111111111111111111111* NOTE PT 3 of MC 1(LAB A) * L288 1111111111111111 1111101111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 1(LAB A) * L384 1111111111111111 1111111110111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 1 of MC 1(LAB A) * L480 1111111111111111 1111111111111111111111111111111111111111 1111111111011111101111111111111111111111* NOTE PT 1 of MC 2(LAB A) * L576 1111111111111111 1111011111111111111111111111110111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 2(LAB A) * L1248 1111111111111111 1111111101111111111111111111111111111111 1111101101111111111111111111111111111111* NOTE PT 2 of MC 3(LAB A) * L1536 1111111111111111 1111111111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 2 of MC 4(LAB A) * L1632 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 4(LAB A) * L1728 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 4(LAB A) * L1824 1111111111111111 1111111101110110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 4(LAB A) * L1920 0011111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 5(LAB A) * L2016 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 5(LAB A) * L2208 1111111111111111 1111110111011111111111011101111111011111 1011110111111111111110111111111111111111* NOTE PT 2 of MC 5(LAB A) * L2496 1111111111111111 1111011111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 2 of MC 6(LAB A) * L2880 1111111111111111 1111111101111110111111111111111111111111 1111111111111111111111111111111111101111* NOTE PT 5 of MC 7(LAB A) * L2976 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 7(LAB A) * L3072 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 7(LAB A) * L3168 1111111111111111 1111111011111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 7(LAB A) * L3456 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 2 of MC 8(LAB A) * L3552 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 8(LAB A) * L3648 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 8(LAB A) * L3744 1111111111111111 1111111101111110111111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 5 of MC 8(LAB A) * L3840 1111111111111111 1111111101111110111111111111111111111111 1111111111111111111111111111111011111111* NOTE PT 5 of MC 9(LAB A) * L3936 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 9(LAB A) * L4032 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 9(LAB A) * L4128 1111111111111111 1111111111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 9(LAB A) * L4416 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 10(LAB A) * L4512 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 10(LAB A) * L4608 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 10(LAB A) * L4704 1111111111111111 1111111101111110111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 5 of MC 10(LAB A) * L4896 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 11(LAB A) * L4992 1111111111111111 1110111111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 11(LAB A) * L5088 1111111111111111 1111111111111111011111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 11(LAB A) * L5376 1111111111111111 1111111111111111111111111110111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 12(LAB A) * L5472 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 12(LAB A) * L5568 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 12(LAB A) * L5664 1111111111111111 1111111101111110111111111111111111111111 1110111111111111111111111111111111111111* NOTE PT 5 of MC 12(LAB A) * L5856 1111111111111111 1111010111011111111111011101111111011111 1011110111111111111110111111111111111111* NOTE PT 4 of MC 13(LAB A) * L5952 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 13(LAB A) * L6048 1111111111111111 1110101111101111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 13(LAB A) * L6336 1111111111111111 1111111111111111111111111111111111101111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 14(LAB A) * L6432 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 14(LAB A) * L6528 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 14(LAB A) * L6624 1111111111111111 0111111101111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 14(LAB A) * L6720 1111111111111111 1111111101111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 15(LAB A) * L6816 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 15(LAB A) * L6912 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 15(LAB A) * L7296 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 16(LAB A) * L7392 1111111111111111 1111111111111111111111111111111111111111 1111111111101111111111111111111111111111* NOTE PT 3 of MC 16(LAB A) * L7488 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 16(LAB A) * L7584 1111111111111111 1111111101111110111101111111111111111111 1111111111111111111111111111111111111111* NOTE PT 5 of MC 16(LAB A) * L7968 1111111111111111 1111111111111111111111111111111111111111 1111111111011111111111111111111111111111* NOTE PT 2 of MC 17(LAB B) * L8256 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 18(LAB B) * L8448 1111111111111111 1111111111111111111111111111111111111111 1111111111110111111111111111111111111111* NOTE PT 4 of MC 18(LAB B) * L8832 1111111111111111 1111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 3 of MC 19(LAB B) * L8928 1111111111111111 1111111111111111111111111111111111111111 1111111111111111011111111111111111111111* NOTE PT 2 of MC 19(LAB B) * L9792 1111111111111111 1111111111111111111111111111111111111111 1101111111111111111111111111111111111111* NOTE PT 3 of MC 21(LAB B) * L9888 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111011111111111* NOTE PT 2 of MC 21(LAB B) * L10176 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 22(LAB B) * NOTE macrocell configurations 0 0 0 0 * L15360 1111111111111111* L15376 11111101111111111111101111111101* NOTE S16,S12 of block A * L15408 00100101111010011001000100011001* NOTE S14,S11 of block A * L15440 1111111111111111* L15456 10011001100110011001100110011001* NOTE S9 ,S6 of block A * L15488 00000000000000000000000001000000* NOTE S13,S10 of block A * L15520 1111111111111111* L15536 00000000000000000000000100110100* NOTE S20,S18 of block A * L15568 00000000000000000000000000000000* NOTE S8 ,S21 of block A * L15600 1111111111111111* L15616 00000000000000000000000000111100* NOTE S7 ,S19 of block A * L15648 00100110010001110110011000100110* NOTE S22,S5 of block A * L15680 1111111111111111* L15696 11111111111111111111111111111111* NOTE S23,S4 of block A * L15728 01111110011001100110011001100110* NOTE S3 ,S15 of block A * L15760 1111111111111111* L15776 00000000000000001100001100111111* NOTE S0 ,S1 of block A * L15808 11111101110110111001100110011001* NOTE S17 ,S2 of block A * L15840 1111111111111111* L15856 11111111111111111111100111011111* NOTE S16,S12 of block B * L15888 00000000000000000000000000000000* NOTE S14,S11 of block B * L15920 1111111111111111* L15936 10011001100110011001110110011101* NOTE S9 ,S6 of block B * L15968 00110001001110011001100110011001* NOTE S13,S10 of block B * L16000 1111111111111111* L16016 00000000000000000000000000000010* NOTE S20,S18 of block B * L16048 00000011000011111111111111111111* NOTE S8 ,S21 of block B * L16080 1111111111111111* L16096 11111111111111111111110011000011* NOTE S7 ,S19 of block B * L16128 01100010100001100111011001100110* NOTE S22,S5 of block B * L16160 1111111111111111* L16176 11111111111111111111111111111111* NOTE S23,S4 of block B * L16208 11100110011001100110011001100110* NOTE S3 ,S15 of block B * L16240 1111111111111111* L16256 00000000000000000000001100111111* NOTE S0 ,S1 of block B * L16288 11111101110110011001100110011001* NOTE S17 ,S2 of block B * NOTE UIM for block A and B* NOTE 0 0 0* L16320 10111* NOTE Mux-39 of block A* L16325 10111* NOTE Mux-39 of block B* L16330 11111* NOTE Mux-38 of block A* L16335 11111* NOTE Mux-38 of block B* L16340 01111* NOTE Mux-37 of block A* L16345 11111* NOTE Mux-37 of block B* L16350 11111* NOTE Mux-36 of block A* L16355 11111* NOTE Mux-36 of block B* L16360 11101* NOTE Mux-35 of block A* L16365 11111* NOTE Mux-35 of block B* L16370 11111* NOTE Mux-34 of block A* L16375 01111* NOTE Mux-34 of block B* L16380 11111* NOTE Mux-33 of block A* L16385 11111* NOTE Mux-33 of block B* L16390 11111* NOTE Mux-32 of block A* L16395 11111* NOTE Mux-32 of block B* L16400 11011* NOTE Mux-31 of block A* L16405 11111* NOTE Mux-31 of block B* L16410 10111* NOTE Mux-30 of block A* L16415 11111* NOTE Mux-30 of block B* L16420 10111* NOTE Mux-29 of block A* L16425 10111* NOTE Mux-29 of block B* L16430 10111* NOTE Mux-28 of block A* L16435 11011* NOTE Mux-28 of block B* L16440 01111* NOTE Mux-27 of block A* L16445 11111* NOTE Mux-27 of block B* L16450 10111* NOTE Mux-26 of block A* L16455 11101* NOTE Mux-26 of block B* L16460 11101* NOTE Mux-25 of block A* L16465 11101* NOTE Mux-25 of block B* L16470 10111* NOTE Mux-24 of block A* L16475 11111* NOTE Mux-24 of block B* L16480 10111* NOTE Mux-23 of block A* L16485 11111* NOTE Mux-23 of block B* L16490 01111* NOTE Mux-22 of block A* L16495 11111* NOTE Mux-22 of block B* L16500 11011* NOTE Mux-21 of block A* L16505 01111* NOTE Mux-21 of block B* L16510 10111* NOTE Mux-20 of block A* L16515 11111* NOTE Mux-20 of block B* L16520 10111* NOTE Mux-19 of block A* L16525 10111* NOTE Mux-19 of block B* L16530 11111* NOTE Mux-18 of block A* L16535 11111* NOTE Mux-18 of block B* L16540 11011* NOTE Mux-17 of block A* L16545 11111* NOTE Mux-17 of block B* L16550 11111* NOTE Mux-16 of block A* L16555 11111* NOTE Mux-16 of block B* L16560 11011* NOTE Mux-15 of block A* L16565 11111* NOTE Mux-15 of block B* L16570 11111* NOTE Mux-14 of block A* L16575 11111* NOTE Mux-14 of block B* L16580 11101* NOTE Mux-13 of block A* L16585 11111* NOTE Mux-13 of block B* L16590 11111* NOTE Mux-12 of block A* L16595 11111* NOTE Mux-12 of block B* L16600 11110* NOTE Mux-11 of block A* L16605 11111* NOTE Mux-11 of block B* L16610 11110* NOTE Mux-10 of block A* L16615 11111* NOTE Mux-10 of block B* L16620 10111* NOTE Mux-9 of block A* L16625 10111* NOTE Mux-9 of block B* L16630 11011* NOTE Mux-8 of block A* L16635 11111* NOTE Mux-8 of block B* L16640 11101* NOTE Mux-7 of block A* L16645 11111* NOTE Mux-7 of block B* L16650 01111* NOTE Mux-6 of block A* L16655 11111* NOTE Mux-6 of block B* L16660 11011* NOTE Mux-5 of block A* L16665 11111* NOTE Mux-5 of block B* L16670 10111* NOTE Mux-4 of block A* L16675 11111* NOTE Mux-4 of block B* L16680 11101* NOTE Mux-3 of block A* L16685 11111* NOTE Mux-3 of block B* L16690 11110* NOTE Mux-2 of block A* L16695 11111* NOTE Mux-2 of block B* L16700 11011* NOTE Mux-1 of block A* L16705 11111* NOTE Mux-1 of block B* L16710 01111* NOTE Mux-0 of block A* L16715 11111* NOTE Mux-0 of block B* NOTE 6 global OE 0 0 0* L16720 11110* NOTE GOE5* L16725 11101* NOTE GOE4* L16730 11110* NOTE GOE3* L16735 11110* NOTE GOE2* L16740 11101* NOTE GOE1* L16745 11110* NOTE GOE0* * NOTE device configuration bits* NOTE 0 0 0 0* L16750 01110000000011010011000111111111* NOTE Special Purpose Bits (JTAG) * L16782 1111* NOTE UES bits* L16786 1111111111111111* NOTE Reserved bits * L16802 000000* C2F34* 0000