Version 4.45.1 JEDEC file for: ATF1502 PLCC44 Created on: Sun Apr 14 17:25:51 2024 * QF16808* QP44 * F0* NOTE 0 0 0 0 0 * L288 1111111111111111 1111111111111110111111111111111111111111 1111111111101111011111111111111111111111* NOTE PT 2 of MC 1(LAB A) * L384 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 1 of MC 1(LAB A) * L480 1111111111111111 1111011111111111111111111111111111111111 1111111111111101111101101111111111111111* NOTE PT 1 of MC 2(LAB A) * L576 1111111111111111 1111111111111111111111111111011111111111 1111111111101111011111111111111111111111* NOTE PT 2 of MC 2(LAB A) * L1248 1111111111111111 1111111111110111111111111111111111111111 1111111111101111011111111111111111111111* NOTE PT 2 of MC 3(LAB A) * L1344 1011111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 1 of MC 3(LAB A) * L1632 1101111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 4(LAB A) * L1728 0111111111111111 1111111111111111111101111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 4(LAB A) * L1824 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 5 of MC 4(LAB A) * L2208 1111111111111111 1111111111111111111111111111111111111111 1111111011101111011111111111111111111111* NOTE PT 2 of MC 5(LAB A) * L2496 1111111111111111 1110111111111111111111111111111111111111 1111111111101111011111111111111111111111* NOTE PT 2 of MC 6(LAB A) * L2976 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 7(LAB A) * L3072 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 7(LAB A) * L3168 1111111111111111 1111111111110111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 7(LAB A) * L3456 1111111111111111 1111111111111111111111111111111111111111 1111111011111111111111111111111111111111* NOTE PT 2 of MC 8(LAB A) * L3552 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 8(LAB A) * L3648 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 8(LAB A) * L3936 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 4 of MC 9(LAB A) * L4032 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 9(LAB A) * L4128 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101111111111111111111* NOTE PT 2 of MC 9(LAB A) * L4416 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 10(LAB A) * L4512 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 10(LAB A) * L4608 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 10(LAB A) * L5376 1111111111111111 1110111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 12(LAB A) * L5472 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 12(LAB A) * L5568 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 12(LAB A) * L5760 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 5 of MC 13(LAB A) * L5856 0111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 4 of MC 13(LAB A) * L6336 1111111111111111 1111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 14(LAB A) * L6432 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 14(LAB A) * L6528 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111111111111* NOTE PT 4 of MC 14(LAB A) * L6816 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 15(LAB A) * L6912 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 15(LAB A) * L7008 1111111111111111 1111111111111111111111111111011111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 15(LAB A) * L7296 1111111111111111 1111111111111111111111111111111111111111 1111111111111110111111111111111111111111* NOTE PT 2 of MC 16(LAB A) * L7392 1111111111111111 0111111111111111111110111111111111111111 1111111111111110111110111111111111111111* NOTE PT 3 of MC 16(LAB A) * L7488 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111101101111111111111111* NOTE PT 4 of MC 16(LAB A) * L7968 1111111111111111 1111111111111111111111111111111111111111 1110111011111111111111111111111111111111* NOTE PT 2 of MC 17(LAB B) * L8256 1111111111111111 1111111111111111111111111111111111111111 1111111001111111111101111111111111111111* NOTE PT 2 of MC 18(LAB B) * L8928 1111111111111111 1111111111111111111111111111111111111111 0111111011101111111111111111111111111111* NOTE PT 2 of MC 19(LAB B) * L9216 1111111111111111 0111111111111111111111101111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 20(LAB B) * L9312 1111111111111111 1111111111111111111110111111111111111111 1111111111111111111111111111110111111111* NOTE PT 3 of MC 20(LAB B) * L10368 1111111111111111 1111111111111111011110111111111111111111 1111111111111110111111111111110111111111* NOTE PT 4 of MC 22(LAB B) * L10464 1111111111111111 1111111111111111111111111111111111111111 1111111110111111111111111111111111111111* NOTE PT 5 of MC 22(LAB B) * L10560 1111111111111111 1111111111111111011110111111111111111011 1111111110111110111111111111110111111111* NOTE PT 5 of MC 23(LAB B) * L10656 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111011111111* NOTE PT 4 of MC 23(LAB B) * L10752 1111111111111111 1111111111111111011110111111111111110111 1111111101111110111111111111110111111111* NOTE PT 3 of MC 23(LAB B) * L10848 1111111111111111 1111111111111111111111111111111111111011 1111111111111111111111111111111111111111* NOTE PT 2 of MC 23(LAB B) * L11136 1111111111111111 1111111111111110111111111111111111111111 1111111011101111111111111111111111111111* NOTE PT 2 of MC 24(LAB B) * L11616 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111011111111* NOTE PT 4 of MC 25(LAB B) * L11712 1111111111111111 1111111101111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 3 of MC 25(LAB B) * L11808 1111111111111111 1111111111111111111111111111111111110111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 25(LAB B) * L12096 1111111111111111 1111111111111111111111111111111111110111 1111111011101111111111111111111111111111* NOTE PT 2 of MC 26(LAB B) * L12672 1111111111111111 1111111111111111111110111111111111111111 1111111111111111111111111111110111111111* NOTE PT 3 of MC 27(LAB B) * L12768 1111111111111111 0111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 27(LAB B) * L13632 1111111111111111 1111111111111111111110111111111111111111 1111111111111111111111111111110111111111* NOTE PT 3 of MC 29(LAB B) * L13728 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 29(LAB B) * L14016 1111111111111111 1111111111111110111111111111111111111111 1111111111111111111111111111111111111111* NOTE PT 2 of MC 30(LAB B) * L14112 1111111111111111 1111111111111111011110111111111111111111 1111111111111110111111111111110111111111* NOTE PT 3 of MC 30(LAB B) * L14208 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111011111111* NOTE PT 4 of MC 30(LAB B) * L14496 1111111111111111 1111111111111111111111111111111111111111 1111111111111111111111101111111011111111* NOTE PT 4 of MC 31(LAB B) * L14592 1111111111111111 1111111111111111011110111111111111111111 1111111111111110111111111111110111111111* NOTE PT 3 of MC 31(LAB B) * L14688 1111111111111111 1111111111111111111111111111111111111111 0111111111111111111111111111111111111111* NOTE PT 2 of MC 31(LAB B) * NOTE macrocell configurations 0 0 0 0 * L15360 1111111111111111* L15376 11111111111111111111111111111111* NOTE S16,S12 of block A * L15408 01100101011000000000000010000000* NOTE S14,S11 of block A * L15440 1111111111111111* L15456 10011001100110011001100110011001* NOTE S9 ,S6 of block A * L15488 00000000000000000000100000000000* NOTE S13,S10 of block A * L15520 1111111111111111* L15536 00000000000000000000111100111111* NOTE S20,S18 of block A * L15568 00000000000000000000110000000000* NOTE S8 ,S21 of block A * L15600 1111111111111111* L15616 00000000001100000000111100111111* NOTE S7 ,S19 of block A * L15648 01100110011011110111011111100110* NOTE S22,S5 of block A * L15680 1111111111111111* L15696 11111111111111111111111111111111* NOTE S23,S4 of block A * L15728 11111110111101100110011000100110* NOTE S3 ,S15 of block A * L15760 1111111111111111* L15776 00000011110011001111111100111111* NOTE S0 ,S1 of block A * L15808 11111101111111111011101111011001* NOTE S17 ,S2 of block A * L15840 1111111111111111* L15856 11111101110111111110111110111111* NOTE S16,S12 of block B * L15888 00100100010101100010000000000000* NOTE S14,S11 of block B * L15920 1111111111111111* L15936 10011011100110011001100110011001* NOTE S9 ,S6 of block B * L15968 00000010000010000000010111000001* NOTE S13,S10 of block B * L16000 1111111111111111* L16016 00000000000011001101001100111110* NOTE S20,S18 of block B * L16048 00000000000000000000001100000011* NOTE S8 ,S21 of block B * L16080 1111111111111111* L16096 11000011111111001100001111111111* NOTE S7 ,S19 of block B * L16128 01100100011001100110101110110110* NOTE S22,S5 of block B * L16160 1111111111111111* L16176 11111111111111111111111111111111* NOTE S23,S4 of block B * L16208 11111110111001110111011001100110* NOTE S3 ,S15 of block B * L16240 1111111111111111* L16256 00001111001111001111111111111111* NOTE S0 ,S1 of block B * L16288 11111111111111111011110111111001* NOTE S17 ,S2 of block B * NOTE UIM for block A and B* NOTE 0 0 0* L16320 10111* NOTE Mux-39 of block A* L16325 10111* NOTE Mux-39 of block B* L16330 11111* NOTE Mux-38 of block A* L16335 11111* NOTE Mux-38 of block B* L16340 11111* NOTE Mux-37 of block A* L16345 11111* NOTE Mux-37 of block B* L16350 11111* NOTE Mux-36 of block A* L16355 11111* NOTE Mux-36 of block B* L16360 11111* NOTE Mux-35 of block A* L16365 10111* NOTE Mux-35 of block B* L16370 11111* NOTE Mux-34 of block A* L16375 11111* NOTE Mux-34 of block B* L16380 11111* NOTE Mux-33 of block A* L16385 11111* NOTE Mux-33 of block B* L16390 11111* NOTE Mux-32 of block A* L16395 11111* NOTE Mux-32 of block B* L16400 11110* NOTE Mux-31 of block A* L16405 11110* NOTE Mux-31 of block B* L16410 10111* NOTE Mux-30 of block A* L16415 01111* NOTE Mux-30 of block B* L16420 10111* NOTE Mux-29 of block A* L16425 10111* NOTE Mux-29 of block B* L16430 10111* NOTE Mux-28 of block A* L16435 11111* NOTE Mux-28 of block B* L16440 01111* NOTE Mux-27 of block A* L16445 01111* NOTE Mux-27 of block B* L16450 11111* NOTE Mux-26 of block A* L16455 11111* NOTE Mux-26 of block B* L16460 11101* NOTE Mux-25 of block A* L16465 11101* NOTE Mux-25 of block B* L16470 11111* NOTE Mux-24 of block A* L16475 11101* NOTE Mux-24 of block B* L16480 10111* NOTE Mux-23 of block A* L16485 01111* NOTE Mux-23 of block B* L16490 11111* NOTE Mux-22 of block A* L16495 11111* NOTE Mux-22 of block B* L16500 11111* NOTE Mux-21 of block A* L16505 11011* NOTE Mux-21 of block B* L16510 11110* NOTE Mux-20 of block A* L16515 10111* NOTE Mux-20 of block B* L16520 10111* NOTE Mux-19 of block A* L16525 10111* NOTE Mux-19 of block B* L16530 11111* NOTE Mux-18 of block A* L16535 11011* NOTE Mux-18 of block B* L16540 11111* NOTE Mux-17 of block A* L16545 11111* NOTE Mux-17 of block B* L16550 11111* NOTE Mux-16 of block A* L16555 11111* NOTE Mux-16 of block B* L16560 11111* NOTE Mux-15 of block A* L16565 11111* NOTE Mux-15 of block B* L16570 11101* NOTE Mux-14 of block A* L16575 11111* NOTE Mux-14 of block B* L16580 11111* NOTE Mux-13 of block A* L16585 11111* NOTE Mux-13 of block B* L16590 11111* NOTE Mux-12 of block A* L16595 11111* NOTE Mux-12 of block B* L16600 11110* NOTE Mux-11 of block A* L16605 01111* NOTE Mux-11 of block B* L16610 10111* NOTE Mux-10 of block A* L16615 10111* NOTE Mux-10 of block B* L16620 10111* NOTE Mux-9 of block A* L16625 10111* NOTE Mux-9 of block B* L16630 11111* NOTE Mux-8 of block A* L16635 11110* NOTE Mux-8 of block B* L16640 10111* NOTE Mux-7 of block A* L16645 11011* NOTE Mux-7 of block B* L16650 11101* NOTE Mux-6 of block A* L16655 11111* NOTE Mux-6 of block B* L16660 11111* NOTE Mux-5 of block A* L16665 11111* NOTE Mux-5 of block B* L16670 11111* NOTE Mux-4 of block A* L16675 11101* NOTE Mux-4 of block B* L16680 11111* NOTE Mux-3 of block A* L16685 11111* NOTE Mux-3 of block B* L16690 11110* NOTE Mux-2 of block A* L16695 11111* NOTE Mux-2 of block B* L16700 01111* NOTE Mux-1 of block A* L16705 11111* NOTE Mux-1 of block B* L16710 11110* NOTE Mux-0 of block A* L16715 10111* NOTE Mux-0 of block B* NOTE 6 global OE 0 0 0* L16720 11110* NOTE GOE5* L16725 11101* NOTE GOE4* L16730 11110* NOTE GOE3* L16735 11110* NOTE GOE2* L16740 11101* NOTE GOE1* L16745 11110* NOTE GOE0* * NOTE device configuration bits* NOTE 0 0 0 0* L16750 01110000000011010011000111111111* NOTE Special Purpose Bits (JTAG) * L16782 1111* NOTE UES bits* L16786 1111111111111111* NOTE Reserved bits * L16802 000000* C5346* 0000