// tss8 bootstrap ram[15'o7400] = 12'o3250; ram[15'o7401] = 12'o1245; ram[15'o7402] = 12'o1250; ram[15'o7403] = 12'o3252; ram[15'o7404] = 12'o6224; ram[15'o7405] = 12'o7040; ram[15'o7406] = 12'o210; ram[15'o7407] = 12'o3210; ram[15'o7410] = 12'o6221; ram[15'o7411] = 12'o4256; ram[15'o7412] = 12'o3301; ram[15'o7413] = 12'o1276; ram[15'o7414] = 12'o3277; ram[15'o7415] = 12'o4247; ram[15'o7416] = 12'o3300; ram[15'o7417] = 12'o4256; ram[15'o7420] = 12'o5235; ram[15'o7421] = 12'o4266; ram[15'o7422] = 12'o7420; ram[15'o7423] = 12'o5231; ram[15'o7424] = 12'o3275; ram[15'o7425] = 12'o1277; ram[15'o7426] = 12'o1300; ram[15'o7427] = 12'o1301; ram[15'o7430] = 12'o5212; ram[15'o7431] = 12'o3675; ram[15'o7432] = 12'o2275; ram[15'o7433] = 12'o7600; ram[15'o7434] = 12'o5225; ram[15'o7435] = 12'o4266; ram[15'o7436] = 12'o7041; ram[15'o7437] = 12'o1301; ram[15'o7440] = 12'o7440; ram[15'o7441] = 12'o7402; ram[15'o7442] = 12'o7126; ram[15'o7443] = 12'o1210; ram[15'o7444] = 12'o3245; ram[15'o7445] = 12'o5; ram[15'o7446] = 12'o5000; ram[15'o7447] = 12'o0; ram[15'o7450] = 12'o7402; ram[15'o7451] = 12'o5250; ram[15'o7452] = 12'o7402; ram[15'o7453] = 12'o3276; ram[15'o7454] = 12'o1276; ram[15'o7455] = 12'o5647; ram[15'o7456] = 12'o0; ram[15'o7457] = 12'o4247; ram[15'o7460] = 12'o1233; ram[15'o7461] = 12'o7510; ram[15'o7462] = 12'o2256; ram[15'o7463] = 12'o7750; ram[15'o7464] = 12'o5656; ram[15'o7465] = 12'o5257; ram[15'o7466] = 12'o0; ram[15'o7467] = 12'o1277; ram[15'o7470] = 12'o7106; ram[15'o7471] = 12'o7006; ram[15'o7472] = 12'o7006; ram[15'o7473] = 12'o1300; ram[15'o7474] = 12'o5666; ram[15'o7475] = 12'o0; ram[15'o7476] = 12'o24; ram[15'o7477] = 12'o0; ram[15'o7500] = 12'o0; ram[15'o7501] = 12'o6000; ram[15'o7601] = 12'o1205; ram[15'o7602] = 12'o3360; ram[15'o7603] = 12'o1357; ram[15'o7604] = 12'o5606; ram[15'o7605] = 12'o5357; ram[15'o7606] = 12'o7400; ram[15'o7760] = 12'o5201; ram[15'o20000] = 12'o5401; ram[15'o20001] = 12'o4200; ram[15'o20010] = 12'o10; ram[15'o20011] = 12'o11; ram[15'o20032] = 12'o2; ram[15'o20033] = 12'o3; ram[15'o20034] = 12'o4; ram[15'o20035] = 12'o7; ram[15'o20036] = 12'o37; ram[15'o20037] = 12'o100; ram[15'o20040] = 12'o1000; ram[15'o20041] = 12'o7770; ram[15'o20042] = 12'o7600; ram[15'o20043] = 12'o7763; ram[15'o20044] = 12'o7740; ram[15'o20046] = 12'o46; ram[15'o20047] = 12'o47; ram[15'o20050] = 12'o50; ram[15'o20051] = 12'o51; ram[15'o20156] = 12'o400; ram[15'o20157] = 12'o7000; ram[15'o20160] = 12'o2610; ram[15'o20166] = 12'o166; ram[15'o20167] = 12'o1317; ram[15'o20170] = 12'o2573; ram[15'o20060] = 12'o0; ram[15'o20061] = 12'o7402; ram[15'o20062] = 12'o5460; ram[15'o20063] = 12'o7754; ram[15'o20064] = 12'o2555; ram[15'o20065] = 12'o1200; ram[15'o20066] = 12'o0; ram[15'o20067] = 12'o0; ram[15'o20070] = 12'o0; ram[15'o20071] = 12'o0; ram[15'o20072] = 12'o0; ram[15'o20073] = 12'o10; ram[15'o20074] = 12'o6201; ram[15'o20075] = 12'o200; ram[15'o20076] = 12'o177; ram[15'o20077] = 12'o2136; ram[15'o20100] = 12'o3300; ram[15'o20101] = 12'o3335; ram[15'o20102] = 12'o2153; ram[15'o20103] = 12'o1615; ram[15'o20104] = 12'o654; ram[15'o20105] = 12'o1667; ram[15'o20106] = 12'o534; ram[15'o20107] = 12'o510; ram[15'o20110] = 12'o3043; ram[15'o20111] = 12'o520; ram[15'o20112] = 12'o5; ram[15'o20113] = 12'o7777; ram[15'o20114] = 12'o7401; ram[15'o20115] = 12'o136; ram[15'o20116] = 12'o7607; ram[15'o20117] = 12'o7762; ram[15'o20120] = 12'o7607; ram[15'o20121] = 12'o121; ram[15'o20122] = 12'o705; ram[15'o20123] = 12'o7746; ram[15'o20124] = 12'o7771; ram[15'o20125] = 12'o7746; ram[15'o20126] = 12'o132; ram[15'o20127] = 12'o26; ram[15'o20130] = 12'o7774; ram[15'o20131] = 12'o26; ram[15'o20132] = 12'o6744; ram[15'o20133] = 12'o6746; ram[15'o20134] = 12'o6743; ram[15'o20135] = 12'o5031; ram[15'o20136] = 12'o1222; ram[15'o20137] = 12'o3354; ram[15'o20140] = 12'o1222; ram[15'o20141] = 12'o3355; ram[15'o20142] = 12'o6774; ram[15'o20143] = 12'o1223; ram[15'o20144] = 12'o6766; ram[15'o20145] = 12'o6771; ram[15'o20146] = 12'o5216; ram[15'o20147] = 12'o1224; ram[15'o20150] = 12'o5215; ram[15'o20151] = 12'o7577; ram[15'o20152] = 12'o600; ram[15'o20153] = 12'o220; ram[15'o20200] = 12'o1263; ram[15'o20201] = 12'o4506; ram[15'o20202] = 12'o1264; ram[15'o20203] = 12'o4506; ram[15'o20204] = 12'o4273; ram[15'o20205] = 12'o5200; ram[15'o20206] = 12'o5200; ram[15'o20207] = 12'o4253; ram[15'o20210] = 12'o4665; ram[15'o20211] = 12'o1366; ram[15'o20212] = 12'o4672; ram[15'o20213] = 12'o4253; ram[15'o20214] = 12'o4670; ram[15'o20215] = 12'o4273; ram[15'o20216] = 12'o5221; ram[15'o20217] = 12'o5230; ram[15'o20220] = 12'o5207; ram[15'o20221] = 12'o1371; ram[15'o20222] = 12'o7710; ram[15'o20223] = 12'o5200; ram[15'o20224] = 12'o1365; ram[15'o20225] = 12'o3366; ram[15'o20226] = 12'o4666; ram[15'o20227] = 12'o5200; ram[15'o20230] = 12'o1371; ram[15'o20231] = 12'o7710; ram[15'o20232] = 12'o5236; ram[15'o20233] = 12'o1365; ram[15'o20234] = 12'o3366; ram[15'o20235] = 12'o4666; ram[15'o20236] = 12'o1263; ram[15'o20237] = 12'o4506; ram[15'o20240] = 12'o1263; ram[15'o20241] = 12'o4506; ram[15'o20242] = 12'o4671; ram[15'o20243] = 12'o4667; ram[15'o20244] = 12'o1364; ram[15'o20245] = 12'o4672; ram[15'o20246] = 12'o1365; ram[15'o20247] = 12'o4672; ram[15'o20250] = 12'o1262; ram[15'o20251] = 12'o4506; ram[15'o20252] = 12'o5207; ram[15'o20253] = 12'o0; ram[15'o20254] = 12'o1261; ram[15'o20255] = 12'o4506; ram[15'o20256] = 12'o1261; ram[15'o20257] = 12'o4506; ram[15'o20260] = 12'o5653; ram[15'o20261] = 12'o240; ram[15'o20262] = 12'o272; ram[15'o20263] = 12'o215; ram[15'o20264] = 12'o212; ram[15'o20265] = 12'o400; ram[15'o20266] = 12'o406; ram[15'o20267] = 12'o546; ram[15'o20270] = 12'o554; ram[15'o20271] = 12'o562; ram[15'o20272] = 12'o462; ram[15'o20273] = 12'o0; ram[15'o20274] = 12'o7240; ram[15'o20275] = 12'o3371; ram[15'o20276] = 12'o3364; ram[15'o20277] = 12'o3365; ram[15'o20300] = 12'o7410; ram[15'o20301] = 12'o4506; ram[15'o20302] = 12'o4507; ram[15'o20303] = 12'o3367; ram[15'o20304] = 12'o1367; ram[15'o20305] = 12'o1372; ram[15'o20306] = 12'o7450; ram[15'o20307] = 12'o5351; ram[15'o20310] = 12'o1373; ram[15'o20311] = 12'o7450; ram[15'o20312] = 12'o5352; ram[15'o20313] = 12'o1374; ram[15'o20314] = 12'o7450; ram[15'o20315] = 12'o5353; ram[15'o20316] = 12'o1375; ram[15'o20317] = 12'o7120; ram[15'o20320] = 12'o1073; ram[15'o20321] = 12'o7430; ram[15'o20322] = 12'o5356; ram[15'o20323] = 12'o2371; ram[15'o20324] = 12'o7000; ram[15'o20325] = 12'o3370; ram[15'o20326] = 12'o1364; ram[15'o20327] = 12'o7104; ram[15'o20330] = 12'o7104; ram[15'o20331] = 12'o7104; ram[15'o20332] = 12'o3364; ram[15'o20333] = 12'o1365; ram[15'o20334] = 12'o7006; ram[15'o20335] = 12'o7006; ram[15'o20336] = 12'o35; ram[15'o20337] = 12'o1364; ram[15'o20340] = 12'o3364; ram[15'o20341] = 12'o1365; ram[15'o20342] = 12'o7104; ram[15'o20343] = 12'o7104; ram[15'o20344] = 12'o7104; ram[15'o20345] = 12'o1370; ram[15'o20346] = 12'o3365; ram[15'o20347] = 12'o1367; ram[15'o20350] = 12'o5301; ram[15'o20351] = 12'o2273; ram[15'o20352] = 12'o2273; ram[15'o20353] = 12'o1367; ram[15'o20354] = 12'o4506; ram[15'o20355] = 12'o5673; ram[15'o20356] = 12'o7200; ram[15'o20357] = 12'o4503; ram[15'o20360] = 12'o362; ram[15'o20361] = 12'o5274; ram[15'o20362] = 12'o4077; ram[15'o20363] = 12'o3700; ram[15'o20364] = 12'o0; ram[15'o20365] = 12'o0; ram[15'o20366] = 12'o0; ram[15'o20367] = 12'o0; ram[15'o20370] = 12'o0; ram[15'o20371] = 12'o7777; ram[15'o20372] = 12'o7506; ram[15'o20373] = 12'o60; ram[15'o20374] = 12'o7775; ram[15'o20375] = 12'o7725; ram[15'o20400] = 12'o0; ram[15'o20401] = 12'o4251; ram[15'o20402] = 12'o1205; ram[15'o20403] = 12'o4213; ram[15'o20404] = 12'o5600; ram[15'o20405] = 12'o6603; ram[15'o20406] = 12'o0; ram[15'o20407] = 12'o1212; ram[15'o20410] = 12'o4213; ram[15'o20411] = 12'o5606; ram[15'o20412] = 12'o6605; ram[15'o20413] = 12'o0; ram[15'o20414] = 12'o3234; ram[15'o20415] = 12'o6224; ram[15'o20416] = 12'o1220; ram[15'o20417] = 12'o3225; ram[15'o20420] = 12'o6201; ram[15'o20421] = 12'o7240; ram[15'o20422] = 12'o3644; ram[15'o20423] = 12'o1250; ram[15'o20424] = 12'o3645; ram[15'o20425] = 12'o0; ram[15'o20426] = 12'o6224; ram[15'o20427] = 12'o6615; ram[15'o20430] = 12'o1646; ram[15'o20431] = 12'o6643; ram[15'o20432] = 12'o7200; ram[15'o20433] = 12'o1647; ram[15'o20434] = 12'o0; ram[15'o20435] = 12'o6621; ram[15'o20436] = 12'o7610; ram[15'o20437] = 12'o7402; ram[15'o20440] = 12'o6622; ram[15'o20441] = 12'o5235; ram[15'o20442] = 12'o6601; ram[15'o20443] = 12'o5613; ram[15'o20444] = 12'o7750; ram[15'o20445] = 12'o7751; ram[15'o20446] = 12'o503; ram[15'o20447] = 12'o504; ram[15'o20450] = 12'o365; ram[15'o20451] = 12'o0; ram[15'o20452] = 12'o7200; ram[15'o20453] = 12'o1660; ram[15'o20454] = 12'o3646; ram[15'o20455] = 12'o1661; ram[15'o20456] = 12'o3647; ram[15'o20457] = 12'o5651; ram[15'o20460] = 12'o364; ram[15'o20461] = 12'o365; ram[15'o20462] = 12'o0; ram[15'o20463] = 12'o7104; ram[15'o20464] = 12'o3305; ram[15'o20465] = 12'o1306; ram[15'o20466] = 12'o3302; ram[15'o20467] = 12'o1305; ram[15'o20470] = 12'o7006; ram[15'o20471] = 12'o7004; ram[15'o20472] = 12'o3305; ram[15'o20473] = 12'o1305; ram[15'o20474] = 12'o35; ram[15'o20475] = 12'o1307; ram[15'o20476] = 12'o4506; ram[15'o20477] = 12'o2302; ram[15'o20500] = 12'o5267; ram[15'o20501] = 12'o5662; ram[15'o20502] = 12'o0; ram[15'o20503] = 12'o0; ram[15'o20504] = 12'o0; ram[15'o20505] = 12'o0; ram[15'o20506] = 12'o7774; ram[15'o20507] = 12'o260; ram[15'o20510] = 12'o0; ram[15'o20511] = 12'o6031; ram[15'o20512] = 12'o5311; ram[15'o20513] = 12'o4320; ram[15'o20514] = 12'o6036; ram[15'o20515] = 12'o76; ram[15'o20516] = 12'o1075; ram[15'o20517] = 12'o5710; ram[15'o20520] = 12'o0; ram[15'o20521] = 12'o6031; ram[15'o20522] = 12'o5720; ram[15'o20523] = 12'o6034; ram[15'o20524] = 12'o76; ram[15'o20525] = 12'o1333; ram[15'o20526] = 12'o7500; ram[15'o20527] = 12'o7020; ram[15'o20530] = 12'o7650; ram[15'o20531] = 12'o5401; ram[15'o20532] = 12'o5720; ram[15'o20533] = 12'o7775; ram[15'o20534] = 12'o0; ram[15'o20535] = 12'o7000; ram[15'o20536] = 12'o7410; ram[15'o20537] = 12'o5343; ram[15'o20540] = 12'o6046; ram[15'o20541] = 12'o6041; ram[15'o20542] = 12'o5341; ram[15'o20543] = 12'o7200; ram[15'o20544] = 12'o4511; ram[15'o20545] = 12'o5734; ram[15'o20546] = 12'o0; ram[15'o20547] = 12'o7200; ram[15'o20550] = 12'o2661; ram[15'o20551] = 12'o5746; ram[15'o20552] = 12'o2660; ram[15'o20553] = 12'o5746; ram[15'o20554] = 12'o0; ram[15'o20555] = 12'o1660; ram[15'o20556] = 12'o3370; ram[15'o20557] = 12'o1661; ram[15'o20560] = 12'o3371; ram[15'o20561] = 12'o5754; ram[15'o20562] = 12'o0; ram[15'o20563] = 12'o1370; ram[15'o20564] = 12'o3660; ram[15'o20565] = 12'o1371; ram[15'o20566] = 12'o3661; ram[15'o20567] = 12'o5762; ram[15'o20570] = 12'o0; ram[15'o20571] = 12'o0; ram[15'o20600] = 12'o0; ram[15'o20601] = 12'o4502; ram[15'o20602] = 12'o1531; ram[15'o20603] = 12'o5600; ram[15'o20604] = 12'o4500; ram[15'o20605] = 12'o6603; ram[15'o20606] = 12'o1; ram[15'o20607] = 12'o4501; ram[15'o20610] = 12'o4503; ram[15'o20611] = 12'o714; ram[15'o20612] = 12'o7240; ram[15'o20613] = 12'o1247; ram[15'o20614] = 12'o3010; ram[15'o20615] = 12'o1247; ram[15'o20616] = 12'o3067; ram[15'o20617] = 12'o7001; ram[15'o20620] = 12'o3070; ram[15'o20621] = 12'o6211; ram[15'o20622] = 12'o4650; ram[15'o20623] = 12'o5210; ram[15'o20624] = 12'o1114; ram[15'o20625] = 12'o7001; ram[15'o20626] = 12'o7440; ram[15'o20627] = 12'o7001; ram[15'o20630] = 12'o7440; ram[15'o20631] = 12'o1251; ram[15'o20632] = 12'o7640; ram[15'o20633] = 12'o5222; ram[15'o20634] = 12'o1010; ram[15'o20635] = 12'o3066; ram[15'o20636] = 12'o3466; ram[15'o20637] = 12'o4060; ram[15'o20640] = 12'o4503; ram[15'o20641] = 12'o725; ram[15'o20642] = 12'o4500; ram[15'o20643] = 12'o6605; ram[15'o20644] = 12'o1; ram[15'o20645] = 12'o4501; ram[15'o20646] = 12'o5600; ram[15'o20647] = 12'o7600; ram[15'o20650] = 12'o1711; ram[15'o20651] = 12'o142; ram[15'o20652] = 12'o7520; ram[15'o20653] = 12'o0; ram[15'o20654] = 12'o0; ram[15'o20655] = 12'o3253; ram[15'o20656] = 12'o7240; ram[15'o20657] = 12'o1064; ram[15'o20660] = 12'o3010; ram[15'o20661] = 12'o1410; ram[15'o20662] = 12'o3011; ram[15'o20663] = 12'o1011; ram[15'o20664] = 12'o1252; ram[15'o20665] = 12'o7510; ram[15'o20666] = 12'o5302; ram[15'o20667] = 12'o1041; ram[15'o20670] = 12'o7500; ram[15'o20671] = 12'o5302; ram[15'o20672] = 12'o1073; ram[15'o20673] = 12'o3011; ram[15'o20674] = 12'o1253; ram[15'o20675] = 12'o7106; ram[15'o20676] = 12'o7004; ram[15'o20677] = 12'o1011; ram[15'o20700] = 12'o3253; ram[15'o20701] = 12'o5261; ram[15'o20702] = 12'o7300; ram[15'o20703] = 12'o1253; ram[15'o20704] = 12'o5654; ram[15'o20705] = 12'o6641; ram[15'o20706] = 12'o6611; ram[15'o20707] = 12'o7600; ram[15'o20710] = 12'o6603; ram[15'o20711] = 12'o6622; ram[15'o20712] = 12'o5352; ram[15'o20713] = 12'o5752; ram[15'o20714] = 12'o516; ram[15'o20715] = 12'o440; ram[15'o20716] = 12'o2711; ram[15'o20717] = 12'o2410; ram[15'o20720] = 12'o4001; ram[15'o20721] = 12'o1424; ram[15'o20722] = 12'o1517; ram[15'o20723] = 12'o405; ram[15'o20724] = 12'o3700; ram[15'o20725] = 12'o4437; ram[15'o20726] = 12'o0; ram[15'o20727] = 12'o2331; ram[15'o20730] = 12'o2324; ram[15'o20731] = 12'o515; ram[15'o20732] = 12'o0; ram[15'o20733] = 12'o1411; ram[15'o20734] = 12'o222; ram[15'o20735] = 12'o122; ram[15'o20736] = 12'o3100; ram[15'o20737] = 12'o1720; ram[15'o20740] = 12'o522; ram[15'o20741] = 12'o124; ram[15'o20742] = 12'o1722; ram[15'o20743] = 12'o0; ram[15'o20744] = 12'o4020; ram[15'o20745] = 12'o123; ram[15'o20746] = 12'o2327; ram[15'o20747] = 12'o1722; ram[15'o20750] = 12'o477; ram[15'o20751] = 12'o4000; ram[15'o20752] = 12'o7777; ram[15'o20753] = 12'o7767; ram[15'o20754] = 12'o7757; ram[15'o20755] = 12'o7737; ram[15'o20756] = 12'o7727; ram[15'o20757] = 12'o3723; ram[15'o20760] = 12'o1100; ram[15'o20761] = 12'o3706; ram[15'o20762] = 12'o1120; ram[15'o20763] = 12'o0; ram[15'o20764] = 12'o3711; ram[15'o20765] = 12'o1611; ram[15'o20766] = 12'o2400; ram[15'o20767] = 12'o3724; ram[15'o20770] = 12'o2370; ram[15'o20771] = 12'o0; ram[15'o20772] = 12'o3720; ram[15'o20773] = 12'o2524; ram[15'o20774] = 12'o2200; ram[15'o20775] = 12'o4040; ram[15'o20776] = 12'o3640; ram[15'o20777] = 12'o4000; ram[15'o21000] = 12'o4502; ram[15'o21001] = 12'o1263; ram[15'o21002] = 12'o5401; ram[15'o21003] = 12'o4510; ram[15'o21004] = 12'o1243; ram[15'o21005] = 12'o3210; ram[15'o21006] = 12'o4500; ram[15'o21007] = 12'o6605; ram[15'o21010] = 12'o0; ram[15'o21011] = 12'o4501; ram[15'o21012] = 12'o1210; ram[15'o21013] = 12'o1041; ram[15'o21014] = 12'o7500; ram[15'o21015] = 12'o5205; ram[15'o21016] = 12'o1304; ram[15'o21017] = 12'o3223; ram[15'o21020] = 12'o1305; ram[15'o21021] = 12'o3307; ram[15'o21022] = 12'o4503; ram[15'o21023] = 12'o0; ram[15'o21024] = 12'o1703; ram[15'o21025] = 12'o3210; ram[15'o21026] = 12'o4503; ram[15'o21027] = 12'o775; ram[15'o21030] = 12'o6030; ram[15'o21031] = 12'o4507; ram[15'o21032] = 12'o1042; ram[15'o21033] = 12'o7440; ram[15'o21034] = 12'o1043; ram[15'o21035] = 12'o7640; ram[15'o21036] = 12'o5230; ram[15'o21037] = 12'o7240; ram[15'o21040] = 12'o3306; ram[15'o21041] = 12'o4500; ram[15'o21042] = 12'o6603; ram[15'o21043] = 12'o51; ram[15'o21044] = 12'o4501; ram[15'o21045] = 12'o1243; ram[15'o21046] = 12'o3321; ram[15'o21047] = 12'o1321; ram[15'o21050] = 12'o3325; ram[15'o21051] = 12'o6211; ram[15'o21052] = 12'o4715; ram[15'o21053] = 12'o5310; ram[15'o21054] = 12'o7450; ram[15'o21055] = 12'o4316; ram[15'o21056] = 12'o2306; ram[15'o21057] = 12'o5401; ram[15'o21060] = 12'o4060; ram[15'o21061] = 12'o7640; ram[15'o21062] = 12'o5300; ram[15'o21063] = 12'o1707; ram[15'o21064] = 12'o1325; ram[15'o21065] = 12'o7640; ram[15'o21066] = 12'o5300; ram[15'o21067] = 12'o7001; ram[15'o21070] = 12'o1210; ram[15'o21071] = 12'o3223; ram[15'o21072] = 12'o2307; ram[15'o21073] = 12'o1707; ram[15'o21074] = 12'o7710; ram[15'o21075] = 12'o5222; ram[15'o21076] = 12'o5677; ram[15'o21077] = 12'o2014; ram[15'o21100] = 12'o4503; ram[15'o21101] = 12'o3553; ram[15'o21102] = 12'o5222; ram[15'o21103] = 12'o1664; ram[15'o21104] = 12'o766; ram[15'o21105] = 12'o752; ram[15'o21106] = 12'o0; ram[15'o21107] = 12'o0; ram[15'o21110] = 12'o7001; ram[15'o21111] = 12'o3325; ram[15'o21112] = 12'o4316; ram[15'o21113] = 12'o5714; ram[15'o21114] = 12'o7732; ram[15'o21115] = 12'o7600; ram[15'o21116] = 12'o0; ram[15'o21117] = 12'o4500; ram[15'o21120] = 12'o6605; ram[15'o21121] = 12'o0; ram[15'o21122] = 12'o4501; ram[15'o21123] = 12'o4500; ram[15'o21124] = 12'o6603; ram[15'o21125] = 12'o1; ram[15'o21126] = 12'o4501; ram[15'o21127] = 12'o1325; ram[15'o21130] = 12'o3321; ram[15'o21131] = 12'o5716; ram[15'o21132] = 12'o4500; ram[15'o21133] = 12'o6603; ram[15'o21134] = 12'o22; ram[15'o21135] = 12'o4501; ram[15'o21136] = 12'o6223; ram[15'o21137] = 12'o5340; ram[15'o21140] = 12'o1336; ram[15'o21141] = 12'o3061; ram[15'o21142] = 12'o4756; ram[15'o21143] = 12'o4500; ram[15'o21144] = 12'o6603; ram[15'o21145] = 12'o30; ram[15'o21146] = 12'o4501; ram[15'o21147] = 12'o1360; ram[15'o21150] = 12'o3357; ram[15'o21151] = 12'o1757; ram[15'o21152] = 12'o3060; ram[15'o21153] = 12'o4460; ram[15'o21154] = 12'o2357; ram[15'o21155] = 12'o5351; ram[15'o21156] = 12'o4122; ram[15'o21157] = 12'o0; ram[15'o21160] = 12'o1172; ram[15'o21161] = 12'o3705; ram[15'o21162] = 12'o3005; ram[15'o21163] = 12'o340; ram[15'o21164] = 12'o404; ram[15'o21165] = 12'o2440; ram[15'o21166] = 12'o1417; ram[15'o21167] = 12'o104; ram[15'o21170] = 12'o504; ram[15'o21171] = 12'o3700; ram[15'o21172] = 12'o3031; ram[15'o21173] = 12'o1407; ram[15'o21174] = 12'o2124; ram[15'o21175] = 12'o2034; ram[15'o21176] = 12'o2413; ram[15'o21177] = 12'o1246; ram[15'o21200] = 12'o0; ram[15'o21201] = 12'o3070; ram[15'o21202] = 12'o7346; ram[15'o21203] = 12'o3242; ram[15'o21204] = 12'o4643; ram[15'o21205] = 12'o5600; ram[15'o21206] = 12'o1066; ram[15'o21207] = 12'o1244; ram[15'o21210] = 12'o7540; ram[15'o21211] = 12'o5232; ram[15'o21212] = 12'o1245; ram[15'o21213] = 12'o7510; ram[15'o21214] = 12'o5232; ram[15'o21215] = 12'o3066; ram[15'o21216] = 12'o2242; ram[15'o21217] = 12'o7410; ram[15'o21220] = 12'o5600; ram[15'o21221] = 12'o1070; ram[15'o21222] = 12'o7106; ram[15'o21223] = 12'o1070; ram[15'o21224] = 12'o7004; ram[15'o21225] = 12'o1066; ram[15'o21226] = 12'o3070; ram[15'o21227] = 12'o4477; ram[15'o21230] = 12'o5232; ram[15'o21231] = 12'o5206; ram[15'o21232] = 12'o7200; ram[15'o21233] = 12'o1033; ram[15'o21234] = 12'o1242; ram[15'o21235] = 12'o7650; ram[15'o21236] = 12'o5600; ram[15'o21237] = 12'o2200; ram[15'o21240] = 12'o1070; ram[15'o21241] = 12'o5600; ram[15'o21242] = 12'o0; ram[15'o21243] = 12'o1602; ram[15'o21244] = 12'o7507; ram[15'o21245] = 12'o11; ram[15'o21246] = 12'o0; ram[15'o21247] = 12'o6762; ram[15'o21250] = 12'o6022; ram[15'o21251] = 12'o6041; ram[15'o21252] = 12'o5251; ram[15'o21253] = 12'o6042; ram[15'o21254] = 12'o6012; ram[15'o21255] = 12'o6032; ram[15'o21256] = 12'o6203; ram[15'o21257] = 12'o6131; ram[15'o21260] = 12'o6667; ram[15'o21261] = 12'o6001; ram[15'o21262] = 12'o5570; ram[15'o21263] = 12'o225; ram[15'o21264] = 12'o1114; ram[15'o21265] = 12'o477; ram[15'o21266] = 12'o4000; ram[15'o21267] = 12'o0; ram[15'o21270] = 12'o7300; ram[15'o21271] = 12'o6224; ram[15'o21272] = 12'o1074; ram[15'o21273] = 12'o3061; ram[15'o21274] = 12'o4060; ram[15'o21275] = 12'o1667; ram[15'o21276] = 12'o3300; ram[15'o21277] = 12'o4503; ram[15'o21300] = 12'o0; ram[15'o21301] = 12'o4503; ram[15'o21302] = 12'o3224; ram[15'o21303] = 12'o4505; ram[15'o21304] = 12'o1464; ram[15'o21305] = 12'o1316; ram[15'o21306] = 12'o7100; ram[15'o21307] = 12'o7440; ram[15'o21310] = 12'o1317; ram[15'o21311] = 12'o7640; ram[15'o21312] = 12'o5277; ram[15'o21313] = 12'o7006; ram[15'o21314] = 12'o2267; ram[15'o21315] = 12'o5667; ram[15'o21316] = 12'o7456; ram[15'o21317] = 12'o7773; ram[15'o21320] = 12'o7001; ram[15'o21321] = 12'o7106; ram[15'o21322] = 12'o1121; ram[15'o21323] = 12'o3010; ram[15'o21324] = 12'o1410; ram[15'o21325] = 12'o3115; ram[15'o21326] = 12'o1410; ram[15'o21327] = 12'o3116; ram[15'o21330] = 12'o1410; ram[15'o21331] = 12'o3117; ram[15'o21332] = 12'o1410; ram[15'o21333] = 12'o3120; ram[15'o21334] = 12'o4060; ram[15'o21335] = 12'o1515; ram[15'o21336] = 12'o6201; ram[15'o21337] = 12'o3516; ram[15'o21340] = 12'o2115; ram[15'o21341] = 12'o2116; ram[15'o21342] = 12'o2117; ram[15'o21343] = 12'o5334; ram[15'o21344] = 12'o6765; ram[15'o21345] = 12'o6203; ram[15'o21346] = 12'o5520; ram[15'o21400] = 12'o60; ram[15'o21401] = 12'o3054; ram[15'o21402] = 12'o7777; ram[15'o21403] = 12'o0; ram[15'o21404] = 12'o0; ram[15'o21405] = 12'o0; ram[15'o21406] = 12'o3213; ram[15'o21407] = 12'o0; ram[15'o21410] = 12'o2202; ram[15'o21411] = 12'o4601; ram[15'o21412] = 12'o1205; ram[15'o21413] = 12'o6201; ram[15'o21414] = 12'o7440; ram[15'o21415] = 12'o3606; ram[15'o21416] = 12'o1203; ram[15'o21417] = 12'o7450; ram[15'o21420] = 12'o7001; ram[15'o21421] = 12'o3072; ram[15'o21422] = 12'o1072; ram[15'o21423] = 12'o7040; ram[15'o21424] = 12'o3010; ram[15'o21425] = 12'o7146; ram[15'o21426] = 12'o1167; ram[15'o21427] = 12'o3011; ram[15'o21430] = 12'o7001; ram[15'o21431] = 12'o1010; ram[15'o21432] = 12'o3411; ram[15'o21433] = 12'o1157; ram[15'o21434] = 12'o7040; ram[15'o21435] = 12'o1010; ram[15'o21436] = 12'o3411; ram[15'o21437] = 12'o1157; ram[15'o21440] = 12'o3011; ram[15'o21441] = 12'o7132; ram[15'o21442] = 12'o3411; ram[15'o21443] = 12'o2010; ram[15'o21444] = 12'o5242; ram[15'o21445] = 12'o7126; ram[15'o21446] = 12'o1072; ram[15'o21447] = 12'o7106; ram[15'o21450] = 12'o3072; ram[15'o21451] = 12'o1072; ram[15'o21452] = 12'o1160; ram[15'o21453] = 12'o1073; ram[15'o21454] = 12'o41; ram[15'o21455] = 12'o3446; ram[15'o21456] = 12'o1446; ram[15'o21457] = 12'o6211; ram[15'o21460] = 12'o3204; ram[15'o21461] = 12'o1323; ram[15'o21462] = 12'o3322; ram[15'o21463] = 12'o1204; ram[15'o21464] = 12'o1073; ram[15'o21465] = 12'o3011; ram[15'o21466] = 12'o1011; ram[15'o21467] = 12'o1200; ram[15'o21470] = 12'o7650; ram[15'o21471] = 12'o5301; ram[15'o21472] = 12'o1011; ram[15'o21473] = 12'o3604; ram[15'o21474] = 12'o1011; ram[15'o21475] = 12'o3204; ram[15'o21476] = 12'o2010; ram[15'o21477] = 12'o5263; ram[15'o21500] = 12'o7402; ram[15'o21501] = 12'o1722; ram[15'o21502] = 12'o7450; ram[15'o21503] = 12'o5313; ram[15'o21504] = 12'o3011; ram[15'o21505] = 12'o2322; ram[15'o21506] = 12'o1722; ram[15'o21507] = 12'o7041; ram[15'o21510] = 12'o3200; ram[15'o21511] = 12'o2322; ram[15'o21512] = 12'o5266; ram[15'o21513] = 12'o3604; ram[15'o21514] = 12'o1010; ram[15'o21515] = 12'o7001; ram[15'o21516] = 12'o6201; ram[15'o21517] = 12'o3447; ram[15'o21520] = 12'o4060; ram[15'o21521] = 12'o5607; ram[15'o21522] = 12'o0; ram[15'o21523] = 12'o271; ram[15'o21524] = 12'o0; ram[15'o21525] = 12'o4503; ram[15'o21526] = 12'o1555; ram[15'o21527] = 12'o7126; ram[15'o21530] = 12'o5724; ram[15'o21531] = 12'o3716; ram[15'o21532] = 12'o527; ram[15'o21533] = 12'o4014; ram[15'o21534] = 12'o1707; ram[15'o21535] = 12'o1116; ram[15'o21536] = 12'o4015; ram[15'o21537] = 12'o523; ram[15'o21540] = 12'o2301; ram[15'o21541] = 12'o705; ram[15'o21542] = 12'o7740; ram[15'o21543] = 12'o0; ram[15'o21544] = 12'o6660; ram[15'o21545] = 12'o4010; ram[15'o21546] = 12'o522; ram[15'o21547] = 12'o2432; ram[15'o21550] = 12'o4020; ram[15'o21551] = 12'o1727; ram[15'o21552] = 12'o522; ram[15'o21553] = 12'o7740; ram[15'o21554] = 12'o0; ram[15'o21555] = 12'o6560; ram[15'o21556] = 12'o4010; ram[15'o21557] = 12'o522; ram[15'o21560] = 12'o2432; ram[15'o21561] = 12'o4001; ram[15'o21562] = 12'o2323; ram[15'o21563] = 12'o2515; ram[15'o21564] = 12'o504; ram[15'o21565] = 12'o3700; ram[15'o21600] = 12'o7540; ram[15'o21601] = 12'o27; ram[15'o21602] = 12'o0; ram[15'o21603] = 12'o4477; ram[15'o21604] = 12'o5602; ram[15'o21605] = 12'o1200; ram[15'o21606] = 12'o1066; ram[15'o21607] = 12'o7440; ram[15'o21610] = 12'o1201; ram[15'o21611] = 12'o7650; ram[15'o21612] = 12'o5203; ram[15'o21613] = 12'o2202; ram[15'o21614] = 12'o5602; ram[15'o21615] = 12'o0; ram[15'o21616] = 12'o6214; ram[15'o21617] = 12'o1074; ram[15'o21620] = 12'o3243; ram[15'o21621] = 12'o4060; ram[15'o21622] = 12'o1615; ram[15'o21623] = 12'o3264; ram[15'o21624] = 12'o2215; ram[15'o21625] = 12'o1664; ram[15'o21626] = 12'o7450; ram[15'o21627] = 12'o5243; ram[15'o21630] = 12'o7012; ram[15'o21631] = 12'o7012; ram[15'o21632] = 12'o7012; ram[15'o21633] = 12'o4245; ram[15'o21634] = 12'o1664; ram[15'o21635] = 12'o265; ram[15'o21636] = 12'o7450; ram[15'o21637] = 12'o5243; ram[15'o21640] = 12'o4245; ram[15'o21641] = 12'o2264; ram[15'o21642] = 12'o5225; ram[15'o21643] = 12'o0; ram[15'o21644] = 12'o5615; ram[15'o21645] = 12'o0; ram[15'o21646] = 12'o265; ram[15'o21647] = 12'o1266; ram[15'o21650] = 12'o7450; ram[15'o21651] = 12'o5257; ram[15'o21652] = 12'o7510; ram[15'o21653] = 12'o1037; ram[15'o21654] = 12'o1036; ram[15'o21655] = 12'o4506; ram[15'o21656] = 12'o5645; ram[15'o21657] = 12'o1307; ram[15'o21660] = 12'o7041; ram[15'o21661] = 12'o4506; ram[15'o21662] = 12'o1310; ram[15'o21663] = 12'o5255; ram[15'o21664] = 12'o0; ram[15'o21665] = 12'o77; ram[15'o21666] = 12'o7741; ram[15'o21667] = 12'o0; ram[15'o21670] = 12'o7240; ram[15'o21671] = 12'o1064; ram[15'o21672] = 12'o3010; ram[15'o21673] = 12'o1064; ram[15'o21674] = 12'o3067; ram[15'o21675] = 12'o1305; ram[15'o21676] = 12'o3070; ram[15'o21677] = 12'o4311; ram[15'o21700] = 12'o5270; ram[15'o21701] = 12'o1306; ram[15'o21702] = 12'o7650; ram[15'o21703] = 12'o5667; ram[15'o21704] = 12'o5277; ram[15'o21705] = 12'o5203; ram[15'o21706] = 12'o7566; ram[15'o21707] = 12'o7563; ram[15'o21710] = 12'o212; ram[15'o21711] = 12'o0; ram[15'o21712] = 12'o144; ram[15'o21713] = 12'o4507; ram[15'o21714] = 12'o3066; ram[15'o21715] = 12'o1066; ram[15'o21716] = 12'o1114; ram[15'o21717] = 12'o7450; ram[15'o21720] = 12'o5341; ram[15'o21721] = 12'o1312; ram[15'o21722] = 12'o7640; ram[15'o21723] = 12'o1066; ram[15'o21724] = 12'o4506; ram[15'o21725] = 12'o1010; ram[15'o21726] = 12'o1070; ram[15'o21727] = 12'o7700; ram[15'o21730] = 12'o5361; ram[15'o21731] = 12'o1066; ram[15'o21732] = 12'o3410; ram[15'o21733] = 12'o1066; ram[15'o21734] = 12'o1307; ram[15'o21735] = 12'o7640; ram[15'o21736] = 12'o5356; ram[15'o21737] = 12'o1310; ram[15'o21740] = 12'o5314; ram[15'o21741] = 12'o1010; ram[15'o21742] = 12'o3066; ram[15'o21743] = 12'o1010; ram[15'o21744] = 12'o7041; ram[15'o21745] = 12'o1067; ram[15'o21746] = 12'o7740; ram[15'o21747] = 12'o5313; ram[15'o21750] = 12'o1466; ram[15'o21751] = 12'o4506; ram[15'o21752] = 12'o7240; ram[15'o21753] = 12'o1010; ram[15'o21754] = 12'o3010; ram[15'o21755] = 12'o5313; ram[15'o21756] = 12'o1066; ram[15'o21757] = 12'o2311; ram[15'o21760] = 12'o5711; ram[15'o21761] = 12'o4503; ram[15'o21762] = 12'o3550; ram[15'o21763] = 12'o5711; ram[15'o22000] = 12'o3234; ram[15'o22001] = 12'o4500; ram[15'o22002] = 12'o6603; ram[15'o22003] = 12'o20; ram[15'o22004] = 12'o1234; ram[15'o22005] = 12'o6622; ram[15'o22006] = 12'o5205; ram[15'o22007] = 12'o6203; ram[15'o22010] = 12'o3060; ram[15'o22011] = 12'o1074; ram[15'o22012] = 12'o3617; ram[15'o22013] = 12'o5460; ram[15'o22014] = 12'o1221; ram[15'o22015] = 12'o1220; ram[15'o22016] = 12'o5200; ram[15'o22017] = 12'o61; ram[15'o22020] = 12'o1132; ram[15'o22021] = 12'o3137; ram[15'o22022] = 12'o2471; ram[15'o22023] = 12'o7563; ram[15'o22024] = 12'o14; ram[15'o22025] = 12'o37; ram[15'o22026] = 12'o7763; ram[15'o22027] = 12'o13; ram[15'o22030] = 12'o37; ram[15'o22031] = 12'o7666; ram[15'o22032] = 12'o0; ram[15'o22033] = 12'o0; ram[15'o22034] = 12'o0; ram[15'o22035] = 12'o7300; ram[15'o22036] = 12'o4503; ram[15'o22037] = 12'o2113; ram[15'o22040] = 12'o4505; ram[15'o22041] = 12'o7240; ram[15'o22042] = 12'o1064; ram[15'o22043] = 12'o3010; ram[15'o22044] = 12'o4465; ram[15'o22045] = 12'o5235; ram[15'o22046] = 12'o1226; ram[15'o22047] = 12'o7121; ram[15'o22050] = 12'o1227; ram[15'o22051] = 12'o7430; ram[15'o22052] = 12'o5235; ram[15'o22053] = 12'o3232; ram[15'o22054] = 12'o4465; ram[15'o22055] = 12'o5235; ram[15'o22056] = 12'o1044; ram[15'o22057] = 12'o7120; ram[15'o22060] = 12'o1230; ram[15'o22061] = 12'o7430; ram[15'o22062] = 12'o5235; ram[15'o22063] = 12'o3233; ram[15'o22064] = 12'o4465; ram[15'o22065] = 12'o5235; ram[15'o22066] = 12'o1231; ram[15'o22067] = 12'o7510; ram[15'o22070] = 12'o5235; ram[15'o22071] = 12'o3070; ram[15'o22072] = 12'o1224; ram[15'o22073] = 12'o4622; ram[15'o22074] = 12'o1232; ram[15'o22075] = 12'o1071; ram[15'o22076] = 12'o3070; ram[15'o22077] = 12'o3067; ram[15'o22100] = 12'o1225; ram[15'o22101] = 12'o4622; ram[15'o22102] = 12'o1070; ram[15'o22103] = 12'o7440; ram[15'o22104] = 12'o5235; ram[15'o22105] = 12'o1233; ram[15'o22106] = 12'o1071; ram[15'o22107] = 12'o6201; ram[15'o22110] = 12'o3566; ram[15'o22111] = 12'o4060; ram[15'o22112] = 12'o5634; ram[15'o22113] = 12'o1517; ram[15'o22114] = 12'o1624; ram[15'o22115] = 12'o1055; ram[15'o22116] = 12'o401; ram[15'o22117] = 12'o3155; ram[15'o22120] = 12'o3105; ram[15'o22121] = 12'o122; ram[15'o22122] = 12'o7240; ram[15'o22123] = 12'o0; ram[15'o22124] = 12'o0; ram[15'o22125] = 12'o6211; ram[15'o22126] = 12'o1072; ram[15'o22127] = 12'o1160; ram[15'o22130] = 12'o3072; ram[15'o22131] = 12'o7240; ram[15'o22132] = 12'o3472; ram[15'o22133] = 12'o6601; ram[15'o22134] = 12'o4060; ram[15'o22135] = 12'o5724; ram[15'o22136] = 12'o0; ram[15'o22137] = 12'o1410; ram[15'o22140] = 12'o3066; ram[15'o22141] = 12'o1066; ram[15'o22142] = 12'o1223; ram[15'o22143] = 12'o7650; ram[15'o22144] = 12'o5347; ram[15'o22145] = 12'o2336; ram[15'o22146] = 12'o5736; ram[15'o22147] = 12'o7240; ram[15'o22150] = 12'o1010; ram[15'o22151] = 12'o3010; ram[15'o22152] = 12'o5736; ram[15'o22153] = 12'o0; ram[15'o22154] = 12'o1753; ram[15'o22155] = 12'o2353; ram[15'o22156] = 12'o3360; ram[15'o22157] = 12'o4503; ram[15'o22160] = 12'o0; ram[15'o22161] = 12'o4505; ram[15'o22162] = 12'o1464; ram[15'o22163] = 12'o1376; ram[15'o22164] = 12'o7450; ram[15'o22165] = 12'o5372; ram[15'o22166] = 12'o1377; ram[15'o22167] = 12'o7640; ram[15'o22170] = 12'o5373; ram[15'o22171] = 12'o2353; ram[15'o22172] = 12'o5753; ram[15'o22173] = 12'o4503; ram[15'o22174] = 12'o3271; ram[15'o22175] = 12'o5357; ram[15'o22176] = 12'o7462; ram[15'o22177] = 12'o7765; ram[15'o22200] = 12'o4321; ram[15'o22201] = 12'o3203; ram[15'o22202] = 12'o4340; ram[15'o22203] = 12'o0; ram[15'o22204] = 12'o4277; ram[15'o22205] = 12'o1067; ram[15'o22206] = 12'o4763; ram[15'o22207] = 12'o1041; ram[15'o22210] = 12'o3070; ram[15'o22211] = 12'o4764; ram[15'o22212] = 12'o1467; ram[15'o22213] = 12'o4763; ram[15'o22214] = 12'o2067; ram[15'o22215] = 12'o5221; ram[15'o22216] = 12'o4503; ram[15'o22217] = 12'o724; ram[15'o22220] = 12'o5401; ram[15'o22221] = 12'o2070; ram[15'o22222] = 12'o5211; ram[15'o22223] = 12'o4503; ram[15'o22224] = 12'o724; ram[15'o22225] = 12'o4511; ram[15'o22226] = 12'o5205; ram[15'o22227] = 12'o4321; ram[15'o22230] = 12'o3241; ram[15'o22231] = 12'o1241; ram[15'o22232] = 12'o3254; ram[15'o22233] = 12'o4321; ram[15'o22234] = 12'o3243; ram[15'o22235] = 12'o1243; ram[15'o22236] = 12'o3271; ram[15'o22237] = 12'o4340; ram[15'o22240] = 12'o4277; ram[15'o22241] = 12'o0; ram[15'o22242] = 12'o1467; ram[15'o22243] = 12'o0; ram[15'o22244] = 12'o7041; ram[15'o22245] = 12'o1467; ram[15'o22246] = 12'o7640; ram[15'o22247] = 12'o5254; ram[15'o22250] = 12'o4511; ram[15'o22251] = 12'o2067; ram[15'o22252] = 12'o5241; ram[15'o22253] = 12'o5401; ram[15'o22254] = 12'o0; ram[15'o22255] = 12'o1467; ram[15'o22256] = 12'o7640; ram[15'o22257] = 12'o5263; ram[15'o22260] = 12'o7604; ram[15'o22261] = 12'o7710; ram[15'o22262] = 12'o5250; ram[15'o22263] = 12'o1067; ram[15'o22264] = 12'o4763; ram[15'o22265] = 12'o4764; ram[15'o22266] = 12'o1467; ram[15'o22267] = 12'o4763; ram[15'o22270] = 12'o4764; ram[15'o22271] = 12'o0; ram[15'o22272] = 12'o1467; ram[15'o22273] = 12'o4763; ram[15'o22274] = 12'o4503; ram[15'o22275] = 12'o724; ram[15'o22276] = 12'o5250; ram[15'o22277] = 12'o0; ram[15'o22300] = 12'o7240; ram[15'o22301] = 12'o6666; ram[15'o22302] = 12'o1320; ram[15'o22303] = 12'o6046; ram[15'o22304] = 12'o7200; ram[15'o22305] = 12'o6661; ram[15'o22306] = 12'o5312; ram[15'o22307] = 12'o1317; ram[15'o22310] = 12'o3106; ram[15'o22311] = 12'o5314; ram[15'o22312] = 12'o6041; ram[15'o22313] = 12'o5305; ram[15'o22314] = 12'o4503; ram[15'o22315] = 12'o724; ram[15'o22316] = 12'o5677; ram[15'o22317] = 12'o2355; ram[15'o22320] = 12'o213; ram[15'o22321] = 12'o0; ram[15'o22322] = 12'o4503; ram[15'o22323] = 12'o3235; ram[15'o22324] = 12'o4505; ram[15'o22325] = 12'o4504; ram[15'o22326] = 12'o1041; ram[15'o22327] = 12'o7120; ram[15'o22330] = 12'o1073; ram[15'o22331] = 12'o7430; ram[15'o22332] = 12'o5737; ram[15'o22333] = 12'o7006; ram[15'o22334] = 12'o7004; ram[15'o22335] = 12'o1074; ram[15'o22336] = 12'o5721; ram[15'o22337] = 12'o4233; ram[15'o22340] = 12'o0; ram[15'o22341] = 12'o4503; ram[15'o22342] = 12'o2347; ram[15'o22343] = 12'o4505; ram[15'o22344] = 12'o4504; ram[15'o22345] = 12'o3067; ram[15'o22346] = 12'o5740; ram[15'o22347] = 12'o2324; ram[15'o22350] = 12'o122; ram[15'o22351] = 12'o2440; ram[15'o22352] = 12'o124; ram[15'o22353] = 12'o4055; ram[15'o22354] = 12'o4000; ram[15'o22355] = 12'o0; ram[15'o22356] = 12'o6666; ram[15'o22357] = 12'o6661; ram[15'o22360] = 12'o5357; ram[15'o22361] = 12'o7200; ram[15'o22362] = 12'o5755; ram[15'o22363] = 12'o462; ram[15'o22364] = 12'o253; ram[15'o22365] = 12'o3714; ram[15'o22366] = 12'o1701; ram[15'o22367] = 12'o454; ram[15'o22370] = 12'o4004; ram[15'o22371] = 12'o2515; ram[15'o22372] = 12'o2054; ram[15'o22373] = 12'o4023; ram[15'o22374] = 12'o2401; ram[15'o22375] = 12'o2224; ram[15'o22376] = 12'o5440; ram[15'o22377] = 12'o524; ram[15'o22400] = 12'o377; ram[15'o22401] = 12'o4000; ram[15'o22402] = 12'o7766; ram[15'o22403] = 12'o322; ram[15'o22404] = 12'o7455; ram[15'o22405] = 12'o400; ram[15'o22406] = 12'o1130; ram[15'o22407] = 12'o7750; ram[15'o22410] = 12'o30; ram[15'o22411] = 12'o7704; ram[15'o22412] = 12'o74; ram[15'o22413] = 12'o0; ram[15'o22414] = 12'o7300; ram[15'o22415] = 12'o4503; ram[15'o22416] = 12'o3261; ram[15'o22417] = 12'o4505; ram[15'o22420] = 12'o7240; ram[15'o22421] = 12'o1064; ram[15'o22422] = 12'o3010; ram[15'o22423] = 12'o3066; ram[15'o22424] = 12'o4465; ram[15'o22425] = 12'o5214; ram[15'o22426] = 12'o1207; ram[15'o22427] = 12'o7120; ram[15'o22430] = 12'o1210; ram[15'o22431] = 12'o7430; ram[15'o22432] = 12'o5214; ram[15'o22433] = 12'o3070; ram[15'o22434] = 12'o3067; ram[15'o22435] = 12'o1212; ram[15'o22436] = 12'o4271; ram[15'o22437] = 12'o3066; ram[15'o22440] = 12'o4465; ram[15'o22441] = 12'o5214; ram[15'o22442] = 12'o1211; ram[15'o22443] = 12'o7120; ram[15'o22444] = 12'o1212; ram[15'o22445] = 12'o7430; ram[15'o22446] = 12'o5214; ram[15'o22447] = 12'o1071; ram[15'o22450] = 12'o3070; ram[15'o22451] = 12'o3067; ram[15'o22452] = 12'o1206; ram[15'o22453] = 12'o4271; ram[15'o22454] = 12'o7100; ram[15'o22455] = 12'o6201; ram[15'o22456] = 12'o1071; ram[15'o22457] = 12'o1205; ram[15'o22460] = 12'o3451; ram[15'o22461] = 12'o7004; ram[15'o22462] = 12'o1070; ram[15'o22463] = 12'o1204; ram[15'o22464] = 12'o3450; ram[15'o22465] = 12'o1202; ram[15'o22466] = 12'o3603; ram[15'o22467] = 12'o4060; ram[15'o22470] = 12'o5613; ram[15'o22471] = 12'o0; ram[15'o22472] = 12'o3341; ram[15'o22473] = 12'o1070; ram[15'o22474] = 12'o4312; ram[15'o22475] = 12'o3071; ram[15'o22476] = 12'o1060; ram[15'o22477] = 12'o3070; ram[15'o22500] = 12'o1067; ram[15'o22501] = 12'o7450; ram[15'o22502] = 12'o5671; ram[15'o22503] = 12'o4312; ram[15'o22504] = 12'o1070; ram[15'o22505] = 12'o3070; ram[15'o22506] = 12'o7004; ram[15'o22507] = 12'o1060; ram[15'o22510] = 12'o3067; ram[15'o22511] = 12'o5671; ram[15'o22512] = 12'o0; ram[15'o22513] = 12'o3340; ram[15'o22514] = 12'o3060; ram[15'o22515] = 12'o1343; ram[15'o22516] = 12'o3342; ram[15'o22517] = 12'o7100; ram[15'o22520] = 12'o1340; ram[15'o22521] = 12'o7010; ram[15'o22522] = 12'o3340; ram[15'o22523] = 12'o1060; ram[15'o22524] = 12'o7420; ram[15'o22525] = 12'o5330; ram[15'o22526] = 12'o7100; ram[15'o22527] = 12'o1341; ram[15'o22530] = 12'o7010; ram[15'o22531] = 12'o3060; ram[15'o22532] = 12'o2342; ram[15'o22533] = 12'o5320; ram[15'o22534] = 12'o1340; ram[15'o22535] = 12'o7010; ram[15'o22536] = 12'o7100; ram[15'o22537] = 12'o5712; ram[15'o22540] = 12'o0; ram[15'o22541] = 12'o0; ram[15'o22542] = 12'o0; ram[15'o22543] = 12'o7764; ram[15'o22544] = 12'o4340; ram[15'o22545] = 12'o2523; ram[15'o22546] = 12'o522; ram[15'o22547] = 12'o4006; ram[15'o22550] = 12'o1105; ram[15'o22551] = 12'o1404; ram[15'o22552] = 12'o2340; ram[15'o22553] = 12'o5540; ram[15'o22554] = 12'o0; ram[15'o22555] = 12'o0; ram[15'o22556] = 12'o0; ram[15'o22557] = 12'o0; ram[15'o22560] = 12'o0; ram[15'o22561] = 12'o0; ram[15'o22562] = 12'o0; ram[15'o22563] = 12'o0; ram[15'o22564] = 12'o0; ram[15'o22565] = 12'o0; ram[15'o22566] = 12'o0; ram[15'o22567] = 12'o0; ram[15'o22570] = 12'o0; ram[15'o22571] = 12'o0; ram[15'o22572] = 12'o0; ram[15'o22573] = 12'o0; ram[15'o22574] = 12'o0; ram[15'o22600] = 12'o0; ram[15'o22601] = 12'o7240; ram[15'o22602] = 12'o3327; ram[15'o22603] = 12'o1044; ram[15'o22604] = 12'o3323; ram[15'o22605] = 12'o6761; ram[15'o22606] = 12'o75; ram[15'o22607] = 12'o7650; ram[15'o22610] = 12'o1364; ram[15'o22611] = 12'o6764; ram[15'o22612] = 12'o1330; ram[15'o22613] = 12'o3322; ram[15'o22614] = 12'o6774; ram[15'o22615] = 12'o3732; ram[15'o22616] = 12'o1073; ram[15'o22617] = 12'o6764; ram[15'o22620] = 12'o4337; ram[15'o22621] = 12'o6761; ram[15'o22622] = 12'o7006; ram[15'o22623] = 12'o7006; ram[15'o22624] = 12'o7200; ram[15'o22625] = 12'o1324; ram[15'o22626] = 12'o7040; ram[15'o22627] = 12'o7430; ram[15'o22630] = 12'o1033; ram[15'o22631] = 12'o1000; ram[15'o22632] = 12'o7650; ram[15'o22633] = 12'o7420; ram[15'o22634] = 12'o5300; ram[15'o22635] = 12'o1042; ram[15'o22636] = 12'o3731; ram[15'o22637] = 12'o1327; ram[15'o22640] = 12'o3732; ram[15'o22641] = 12'o1325; ram[15'o22642] = 12'o6774; ram[15'o22643] = 12'o1326; ram[15'o22644] = 12'o6764; ram[15'o22645] = 12'o4337; ram[15'o22646] = 12'o1732; ram[15'o22647] = 12'o3327; ram[15'o22650] = 12'o6761; ram[15'o22651] = 12'o334; ram[15'o22652] = 12'o6764; ram[15'o22653] = 12'o2324; ram[15'o22654] = 12'o2323; ram[15'o22655] = 12'o5212; ram[15'o22656] = 12'o1324; ram[15'o22657] = 12'o1333; ram[15'o22660] = 12'o7710; ram[15'o22661] = 12'o5600; ram[15'o22662] = 12'o1156; ram[15'o22663] = 12'o6764; ram[15'o22664] = 12'o6761; ram[15'o22665] = 12'o1040; ram[15'o22666] = 12'o335; ram[15'o22667] = 12'o3324; ram[15'o22670] = 12'o4511; ram[15'o22671] = 12'o6765; ram[15'o22672] = 12'o4511; ram[15'o22673] = 12'o1324; ram[15'o22674] = 12'o6764; ram[15'o22675] = 12'o3324; ram[15'o22676] = 12'o4511; ram[15'o22677] = 12'o5600; ram[15'o22700] = 12'o7420; ram[15'o22701] = 12'o5217; ram[15'o22702] = 12'o1156; ram[15'o22703] = 12'o2322; ram[15'o22704] = 12'o5217; ram[15'o22705] = 12'o1042; ram[15'o22706] = 12'o6764; ram[15'o22707] = 12'o4503; ram[15'o22710] = 12'o2712; ram[15'o22711] = 12'o5401; ram[15'o22712] = 12'o3704; ram[15'o22713] = 12'o503; ram[15'o22714] = 12'o2401; ram[15'o22715] = 12'o2005; ram[15'o22716] = 12'o4005; ram[15'o22717] = 12'o2222; ram[15'o22720] = 12'o1722; ram[15'o22721] = 12'o0; ram[15'o22722] = 12'o0; ram[15'o22723] = 12'o0; ram[15'o22724] = 12'o0; ram[15'o22725] = 12'o0; ram[15'o22726] = 12'o0; ram[15'o22727] = 12'o0; ram[15'o22730] = 12'o7773; ram[15'o22731] = 12'o7754; ram[15'o22732] = 12'o7755; ram[15'o22733] = 12'o5101; ram[15'o22734] = 12'o77; ram[15'o22735] = 12'o7000; ram[15'o22736] = 12'o0; ram[15'o22737] = 12'o0; ram[15'o22740] = 12'o6771; ram[15'o22741] = 12'o5340; ram[15'o22742] = 12'o4511; ram[15'o22743] = 12'o6772; ram[15'o22744] = 12'o7700; ram[15'o22745] = 12'o5737; ram[15'o22746] = 12'o6772; ram[15'o22747] = 12'o3336; ram[15'o22750] = 12'o1336; ram[15'o22751] = 12'o156; ram[15'o22752] = 12'o7640; ram[15'o22753] = 12'o5362; ram[15'o22754] = 12'o6761; ram[15'o22755] = 12'o365; ram[15'o22756] = 12'o6764; ram[15'o22757] = 12'o1364; ram[15'o22760] = 12'o6764; ram[15'o22761] = 12'o5214; ram[15'o22762] = 12'o3000; ram[15'o22763] = 12'o6764; ram[15'o22764] = 12'o600; ram[15'o22765] = 12'o377; ram[15'o22766] = 12'o4511; ram[15'o22767] = 12'o6772; ram[15'o22770] = 12'o7710; ram[15'o22771] = 12'o5362; ram[15'o22772] = 12'o2000; ram[15'o22773] = 12'o5363; ram[15'o22774] = 12'o5354; ram[15'o23000] = 12'o4630; ram[15'o23001] = 12'o724; ram[15'o23002] = 12'o1227; ram[15'o23003] = 12'o3223; ram[15'o23004] = 12'o4503; ram[15'o23005] = 12'o3235; ram[15'o23006] = 12'o4505; ram[15'o23007] = 12'o1464; ram[15'o23010] = 12'o35; ram[15'o23011] = 12'o3224; ram[15'o23012] = 12'o4503; ram[15'o23013] = 12'o3245; ram[15'o23014] = 12'o4505; ram[15'o23015] = 12'o4504; ram[15'o23016] = 12'o7106; ram[15'o23017] = 12'o7004; ram[15'o23020] = 12'o1224; ram[15'o23021] = 12'o3224; ram[15'o23022] = 12'o4500; ram[15'o23023] = 12'o0; ram[15'o23024] = 12'o0; ram[15'o23025] = 12'o4501; ram[15'o23026] = 12'o5200; ram[15'o23027] = 12'o6603; ram[15'o23030] = 12'o1267; ram[15'o23031] = 12'o0; ram[15'o23032] = 12'o7200; ram[15'o23033] = 12'o4500; ram[15'o23034] = 12'o6603; ram[15'o23035] = 12'o41; ram[15'o23036] = 12'o4501; ram[15'o23037] = 12'o1242; ram[15'o23040] = 12'o4243; ram[15'o23041] = 12'o5631; ram[15'o23042] = 12'o2424; ram[15'o23043] = 12'o0; ram[15'o23044] = 12'o3253; ram[15'o23045] = 12'o6211; ram[15'o23046] = 12'o3653; ram[15'o23047] = 12'o2253; ram[15'o23050] = 12'o5246; ram[15'o23051] = 12'o4060; ram[15'o23052] = 12'o5643; ram[15'o23053] = 12'o0; ram[15'o23054] = 12'o0; ram[15'o23055] = 12'o1301; ram[15'o23056] = 12'o3702; ram[15'o23057] = 12'o7240; ram[15'o23060] = 12'o1300; ram[15'o23061] = 12'o3010; ram[15'o23062] = 12'o1010; ram[15'o23063] = 12'o3011; ram[15'o23064] = 12'o4060; ram[15'o23065] = 12'o1410; ram[15'o23066] = 12'o6211; ram[15'o23067] = 12'o3411; ram[15'o23070] = 12'o2303; ram[15'o23071] = 12'o5264; ram[15'o23072] = 12'o3677; ram[15'o23073] = 12'o4060; ram[15'o23074] = 12'o4503; ram[15'o23075] = 12'o1161; ram[15'o23076] = 12'o5654; ram[15'o23077] = 12'o5006; ram[15'o23100] = 12'o4400; ram[15'o23101] = 12'o3400; ram[15'o23102] = 12'o1400; ram[15'o23103] = 12'o4601; ram[15'o23104] = 12'o1417; ram[15'o23105] = 12'o104; ram[15'o23106] = 12'o4005; ram[15'o23107] = 12'o3005; ram[15'o23110] = 12'o340; ram[15'o23111] = 12'o404; ram[15'o23112] = 12'o2440; ram[15'o23113] = 12'o124; ram[15'o23114] = 12'o4023; ram[15'o23115] = 12'o2401; ram[15'o23116] = 12'o2224; ram[15'o23117] = 12'o5525; ram[15'o23120] = 12'o2077; ram[15'o23121] = 12'o4000; ram[15'o23122] = 12'o0; ram[15'o23123] = 12'o7344; ram[15'o23124] = 12'o3253; ram[15'o23125] = 12'o1064; ram[15'o23126] = 12'o3243; ram[15'o23127] = 12'o1722; ram[15'o23130] = 12'o3231; ram[15'o23131] = 12'o2322; ram[15'o23132] = 12'o4351; ram[15'o23133] = 12'o7106; ram[15'o23134] = 12'o7006; ram[15'o23135] = 12'o7006; ram[15'o23136] = 12'o3631; ram[15'o23137] = 12'o4060; ram[15'o23140] = 12'o4351; ram[15'o23141] = 12'o1631; ram[15'o23142] = 12'o3631; ram[15'o23143] = 12'o2231; ram[15'o23144] = 12'o4060; ram[15'o23145] = 12'o2253; ram[15'o23146] = 12'o5332; ram[15'o23147] = 12'o5722; ram[15'o23150] = 12'o7540; ram[15'o23151] = 12'o0; ram[15'o23152] = 12'o1643; ram[15'o23153] = 12'o1363; ram[15'o23154] = 12'o7650; ram[15'o23155] = 12'o5722; ram[15'o23156] = 12'o1643; ram[15'o23157] = 12'o2243; ram[15'o23160] = 12'o1350; ram[15'o23161] = 12'o6211; ram[15'o23162] = 12'o5751; ram[15'o23163] = 12'o7563; ram[15'o23164] = 12'o516; ram[15'o23165] = 12'o102; ram[15'o23166] = 12'o1405; ram[15'o23167] = 12'o4036; ram[15'o23170] = 12'o2340; ram[15'o23171] = 12'o605; ram[15'o23172] = 12'o124; ram[15'o23173] = 12'o2522; ram[15'o23174] = 12'o577; ram[15'o23175] = 12'o4000; ram[15'o23200] = 12'o4510; ram[15'o23201] = 12'o6211; ram[15'o23202] = 12'o4617; ram[15'o23203] = 12'o7410; ram[15'o23204] = 12'o5401; ram[15'o23205] = 12'o3300; ram[15'o23206] = 12'o6214; ram[15'o23207] = 12'o7041; ram[15'o23210] = 12'o1300; ram[15'o23211] = 12'o7650; ram[15'o23212] = 12'o5620; ram[15'o23213] = 12'o1300; ram[15'o23214] = 12'o7402; ram[15'o23215] = 12'o7200; ram[15'o23216] = 12'o5620; ram[15'o23217] = 12'o7600; ram[15'o23220] = 12'o7732; ram[15'o23221] = 12'o4623; ram[15'o23222] = 12'o5202; ram[15'o23223] = 12'o4241; ram[15'o23224] = 12'o2205; ram[15'o23225] = 12'o104; ram[15'o23226] = 12'o4017; ram[15'o23227] = 12'o2240; ram[15'o23230] = 12'o2722; ram[15'o23231] = 12'o1124; ram[15'o23232] = 12'o540; ram[15'o23233] = 12'o5540; ram[15'o23234] = 12'o0; ram[15'o23235] = 12'o611; ram[15'o23236] = 12'o514; ram[15'o23237] = 12'o440; ram[15'o23240] = 12'o1625; ram[15'o23241] = 12'o1502; ram[15'o23242] = 12'o522; ram[15'o23243] = 12'o4055; ram[15'o23244] = 12'o4000; ram[15'o23245] = 12'o2422; ram[15'o23246] = 12'o103; ram[15'o23247] = 12'o1340; ram[15'o23250] = 12'o1625; ram[15'o23251] = 12'o1502; ram[15'o23252] = 12'o522; ram[15'o23253] = 12'o4055; ram[15'o23254] = 12'o4000; ram[15'o23255] = 12'o3724; ram[15'o23256] = 12'o120; ram[15'o23257] = 12'o540; ram[15'o23260] = 12'o0; ram[15'o23261] = 12'o1022; ram[15'o23262] = 12'o7215; ram[15'o23263] = 12'o1116; ram[15'o23264] = 12'o4055; ram[15'o23265] = 12'o4000; ram[15'o23266] = 12'o4340; ram[15'o23267] = 12'o5540; ram[15'o23270] = 12'o0; ram[15'o23271] = 12'o3105; ram[15'o23272] = 12'o2340; ram[15'o23273] = 12'o1722; ram[15'o23274] = 12'o4016; ram[15'o23275] = 12'o1756; ram[15'o23276] = 12'o5656; ram[15'o23277] = 12'o4000; ram[15'o23300] = 12'o0; ram[15'o23301] = 12'o6214; ram[15'o23302] = 12'o1074; ram[15'o23303] = 12'o3351; ram[15'o23304] = 12'o4060; ram[15'o23305] = 12'o1700; ram[15'o23306] = 12'o3330; ram[15'o23307] = 12'o2300; ram[15'o23310] = 12'o1700; ram[15'o23311] = 12'o3363; ram[15'o23312] = 12'o1363; ram[15'o23313] = 12'o7006; ram[15'o23314] = 12'o7004; ram[15'o23315] = 12'o332; ram[15'o23316] = 12'o6615; ram[15'o23317] = 12'o1700; ram[15'o23320] = 12'o7112; ram[15'o23321] = 12'o2300; ram[15'o23322] = 12'o7010; ram[15'o23323] = 12'o6643; ram[15'o23324] = 12'o6201; ram[15'o23325] = 12'o3733; ram[15'o23326] = 12'o7240; ram[15'o23327] = 12'o3734; ram[15'o23330] = 12'o0; ram[15'o23331] = 12'o5700; ram[15'o23332] = 12'o70; ram[15'o23333] = 12'o7750; ram[15'o23334] = 12'o7751; ram[15'o23335] = 12'o0; ram[15'o23336] = 12'o7346; ram[15'o23337] = 12'o3366; ram[15'o23340] = 12'o6623; ram[15'o23341] = 12'o5340; ram[15'o23342] = 12'o6621; ram[15'o23343] = 12'o5351; ram[15'o23344] = 12'o6616; ram[15'o23345] = 12'o365; ram[15'o23346] = 12'o6201; ram[15'o23347] = 12'o7450; ram[15'o23350] = 12'o1733; ram[15'o23351] = 12'o0; ram[15'o23352] = 12'o7450; ram[15'o23353] = 12'o5735; ram[15'o23354] = 12'o2366; ram[15'o23355] = 12'o7610; ram[15'o23356] = 12'o5367; ram[15'o23357] = 12'o1330; ram[15'o23360] = 12'o3362; ram[15'o23361] = 12'o4500; ram[15'o23362] = 12'o0; ram[15'o23363] = 12'o0; ram[15'o23364] = 12'o5340; ram[15'o23365] = 12'o1005; ram[15'o23366] = 12'o0; ram[15'o23367] = 12'o4503; ram[15'o23370] = 12'o3372; ram[15'o23371] = 12'o5401; ram[15'o23372] = 12'o3704; ram[15'o23373] = 12'o1123; ram[15'o23374] = 12'o1340; ram[15'o23375] = 12'o522; ram[15'o23376] = 12'o2217; ram[15'o23377] = 12'o2200; ram[15'o23400] = 12'o4736; ram[15'o23401] = 12'o3255; ram[15'o23402] = 12'o3325; ram[15'o23403] = 12'o4503; ram[15'o23404] = 12'o3266; ram[15'o23405] = 12'o4505; ram[15'o23406] = 12'o4504; ram[15'o23407] = 12'o7041; ram[15'o23410] = 12'o7450; ram[15'o23411] = 12'o1326; ram[15'o23412] = 12'o3327; ram[15'o23413] = 12'o3261; ram[15'o23414] = 12'o4060; ram[15'o23415] = 12'o1661; ram[15'o23416] = 12'o6201; ram[15'o23417] = 12'o3661; ram[15'o23420] = 12'o2261; ram[15'o23421] = 12'o5214; ram[15'o23422] = 12'o6203; ram[15'o23423] = 12'o5224; ram[15'o23424] = 12'o1222; ram[15'o23425] = 12'o3061; ram[15'o23426] = 12'o1325; ram[15'o23427] = 12'o7100; ram[15'o23430] = 12'o7650; ram[15'o23431] = 12'o7120; ram[15'o23432] = 12'o7420; ram[15'o23433] = 12'o1334; ram[15'o23434] = 12'o1335; ram[15'o23435] = 12'o3730; ram[15'o23436] = 12'o1317; ram[15'o23437] = 12'o7430; ram[15'o23440] = 12'o1032; ram[15'o23441] = 12'o3261; ram[15'o23442] = 12'o1327; ram[15'o23443] = 12'o7004; ram[15'o23444] = 12'o3327; ram[15'o23445] = 12'o3731; ram[15'o23446] = 12'o1040; ram[15'o23447] = 12'o6766; ram[15'o23450] = 12'o7001; ram[15'o23451] = 12'o3262; ram[15'o23452] = 12'o1073; ram[15'o23453] = 12'o3732; ram[15'o23454] = 12'o1325; ram[15'o23455] = 12'o7640; ram[15'o23456] = 12'o5316; ram[15'o23457] = 12'o4733; ram[15'o23460] = 12'o4500; ram[15'o23461] = 12'o0; ram[15'o23462] = 12'o0; ram[15'o23463] = 12'o2327; ram[15'o23464] = 12'o5267; ram[15'o23465] = 12'o4501; ram[15'o23466] = 12'o5301; ram[15'o23467] = 12'o1262; ram[15'o23470] = 12'o7012; ram[15'o23471] = 12'o7710; ram[15'o23472] = 12'o1073; ram[15'o23473] = 12'o1073; ram[15'o23474] = 12'o3732; ram[15'o23475] = 12'o4733; ram[15'o23476] = 12'o2327; ram[15'o23477] = 12'o5305; ram[15'o23500] = 12'o6601; ram[15'o23501] = 12'o1156; ram[15'o23502] = 12'o6764; ram[15'o23503] = 12'o5704; ram[15'o23504] = 12'o1322; ram[15'o23505] = 12'o4501; ram[15'o23506] = 12'o1262; ram[15'o23507] = 12'o7010; ram[15'o23510] = 12'o7630; ram[15'o23511] = 12'o1032; ram[15'o23512] = 12'o1035; ram[15'o23513] = 12'o1262; ram[15'o23514] = 12'o3262; ram[15'o23515] = 12'o5260; ram[15'o23516] = 12'o4500; ram[15'o23517] = 12'o6603; ram[15'o23520] = 12'o1; ram[15'o23521] = 12'o5305; ram[15'o23522] = 12'o7240; ram[15'o23523] = 12'o3325; ram[15'o23524] = 12'o5211; ram[15'o23525] = 12'o0; ram[15'o23526] = 12'o7700; ram[15'o23527] = 12'o0; ram[15'o23530] = 12'o2726; ram[15'o23531] = 12'o2724; ram[15'o23532] = 12'o2725; ram[15'o23533] = 12'o2600; ram[15'o23534] = 12'o20; ram[15'o23535] = 12'o30; ram[15'o23536] = 12'o1267; ram[15'o23537] = 12'o3724; ram[15'o23540] = 12'o120; ram[15'o23541] = 12'o540; ram[15'o23542] = 12'o2205; ram[15'o23543] = 12'o104; ram[15'o23544] = 12'o4005; ram[15'o23545] = 12'o2222; ram[15'o23546] = 12'o1722; ram[15'o23547] = 12'o0; ram[15'o23550] = 12'o3727; ram[15'o23551] = 12'o1001; ram[15'o23552] = 12'o2477; ram[15'o23553] = 12'o3720; ram[15'o23554] = 12'o1405; ram[15'o23555] = 12'o123; ram[15'o23556] = 12'o540; ram[15'o23557] = 12'o2422; ram[15'o23560] = 12'o3140; ram[15'o23561] = 12'o107; ram[15'o23562] = 12'o111; ram[15'o23563] = 12'o1637; ram[15'o23564] = 12'o0; ram[15'o23600] = 12'o0; ram[15'o23601] = 12'o3122; ram[15'o23602] = 12'o4054; ram[15'o23603] = 12'o4510; ram[15'o23604] = 12'o4503; ram[15'o23605] = 12'o727; ram[15'o23606] = 12'o4503; ram[15'o23607] = 12'o744; ram[15'o23610] = 12'o4505; ram[15'o23611] = 12'o4601; ram[15'o23612] = 12'o11; ram[15'o23613] = 12'o4503; ram[15'o23614] = 12'o733; ram[15'o23615] = 12'o4503; ram[15'o23616] = 12'o744; ram[15'o23617] = 12'o4505; ram[15'o23620] = 12'o4601; ram[15'o23621] = 12'o41; ram[15'o23622] = 12'o4503; ram[15'o23623] = 12'o737; ram[15'o23624] = 12'o4503; ram[15'o23625] = 12'o744; ram[15'o23626] = 12'o4505; ram[15'o23627] = 12'o4601; ram[15'o23630] = 12'o61; ram[15'o23631] = 12'o1202; ram[15'o23632] = 12'o3010; ram[15'o23633] = 12'o1410; ram[15'o23634] = 12'o7450; ram[15'o23635] = 12'o5244; ram[15'o23636] = 12'o3200; ram[15'o23637] = 12'o1410; ram[15'o23640] = 12'o6211; ram[15'o23641] = 12'o3600; ram[15'o23642] = 12'o4060; ram[15'o23643] = 12'o5233; ram[15'o23644] = 12'o1112; ram[15'o23645] = 12'o1342; ram[15'o23646] = 12'o7106; ram[15'o23647] = 12'o7124; ram[15'o23650] = 12'o3253; ram[15'o23651] = 12'o4500; ram[15'o23652] = 12'o6605; ram[15'o23653] = 12'o0; ram[15'o23654] = 12'o4501; ram[15'o23655] = 12'o4746; ram[15'o23656] = 12'o1347; ram[15'o23657] = 12'o4510; ram[15'o23660] = 12'o1341; ram[15'o23661] = 12'o1063; ram[15'o23662] = 12'o1344; ram[15'o23663] = 12'o7106; ram[15'o23664] = 12'o7006; ram[15'o23665] = 12'o1113; ram[15'o23666] = 12'o3345; ram[15'o23667] = 12'o7240; ram[15'o23670] = 12'o6211; ram[15'o23671] = 12'o3750; ram[15'o23672] = 12'o7346; ram[15'o23673] = 12'o1345; ram[15'o23674] = 12'o3751; ram[15'o23675] = 12'o1337; ram[15'o23676] = 12'o3736; ram[15'o23677] = 12'o1345; ram[15'o23700] = 12'o7500; ram[15'o23701] = 12'o5307; ram[15'o23702] = 12'o1353; ram[15'o23703] = 12'o3345; ram[15'o23704] = 12'o1352; ram[15'o23705] = 12'o3340; ram[15'o23706] = 12'o1345; ram[15'o23707] = 12'o1343; ram[15'o23710] = 12'o2340; ram[15'o23711] = 12'o7500; ram[15'o23712] = 12'o5307; ram[15'o23713] = 12'o3345; ram[15'o23714] = 12'o7240; ram[15'o23715] = 12'o1340; ram[15'o23716] = 12'o1336; ram[15'o23717] = 12'o3340; ram[15'o23720] = 12'o7124; ram[15'o23721] = 12'o2345; ram[15'o23722] = 12'o5320; ram[15'o23723] = 12'o3740; ram[15'o23724] = 12'o5327; ram[15'o23725] = 12'o7240; ram[15'o23726] = 12'o3740; ram[15'o23727] = 12'o2340; ram[15'o23730] = 12'o5325; ram[15'o23731] = 12'o4500; ram[15'o23732] = 12'o6605; ram[15'o23733] = 12'o11; ram[15'o23734] = 12'o4501; ram[15'o23735] = 12'o5401; ram[15'o23736] = 12'o7252; ram[15'o23737] = 12'o7000; ram[15'o23740] = 12'o0; ram[15'o23741] = 12'o7773; ram[15'o23742] = 12'o24; ram[15'o23743] = 12'o7764; ram[15'o23744] = 12'o100; ram[15'o23745] = 12'o0; ram[15'o23746] = 12'o4040; ram[15'o23747] = 12'o6000; ram[15'o23750] = 12'o7250; ram[15'o23751] = 12'o7251; ram[15'o23752] = 12'o253; ram[15'o23753] = 12'o3774; ram[15'o23754] = 12'o3727; ram[15'o23755] = 12'o2211; ram[15'o23756] = 12'o2405; ram[15'o23757] = 12'o4032; ram[15'o23760] = 12'o522; ram[15'o23761] = 12'o1740; ram[15'o23762] = 12'o2331; ram[15'o23763] = 12'o2324; ram[15'o23764] = 12'o515; ram[15'o23765] = 12'o4004; ram[15'o23766] = 12'o1122; ram[15'o23767] = 12'o503; ram[15'o23770] = 12'o2417; ram[15'o23771] = 12'o2231; ram[15'o23772] = 12'o7740; ram[15'o23773] = 12'o0; ram[15'o24000] = 12'o5601; ram[15'o24001] = 12'o2000; ram[15'o24002] = 12'o0; ram[15'o24003] = 12'o1112; ram[15'o24004] = 12'o1235; ram[15'o24005] = 12'o7106; ram[15'o24006] = 12'o7124; ram[15'o24007] = 12'o3212; ram[15'o24010] = 12'o4500; ram[15'o24011] = 12'o6603; ram[15'o24012] = 12'o0; ram[15'o24013] = 12'o4501; ram[15'o24014] = 12'o1237; ram[15'o24015] = 12'o3010; ram[15'o24016] = 12'o1064; ram[15'o24017] = 12'o3011; ram[15'o24020] = 12'o1372; ram[15'o24021] = 12'o3240; ram[15'o24022] = 12'o6211; ram[15'o24023] = 12'o1410; ram[15'o24024] = 12'o4060; ram[15'o24025] = 12'o3411; ram[15'o24026] = 12'o2240; ram[15'o24027] = 12'o5222; ram[15'o24030] = 12'o6211; ram[15'o24031] = 12'o1636; ram[15'o24032] = 12'o4060; ram[15'o24033] = 12'o3411; ram[15'o24034] = 12'o5602; ram[15'o24035] = 12'o24; ram[15'o24036] = 12'o14; ram[15'o24037] = 12'o20; ram[15'o24040] = 12'o0; ram[15'o24041] = 12'o4500; ram[15'o24042] = 12'o6603; ram[15'o24043] = 12'o11; ram[15'o24044] = 12'o4501; ram[15'o24045] = 12'o5640; ram[15'o24046] = 12'o4654; ram[15'o24047] = 12'o3240; ram[15'o24050] = 12'o3640; ram[15'o24051] = 12'o2240; ram[15'o24052] = 12'o5250; ram[15'o24053] = 12'o5401; ram[15'o24054] = 12'o4241; ram[15'o24055] = 12'o3; ram[15'o24056] = 12'o10; ram[15'o24057] = 12'o10; ram[15'o24060] = 12'o1; ram[15'o24061] = 12'o13; ram[15'o24062] = 12'o40; ram[15'o24063] = 12'o14; ram[15'o24064] = 12'o12; ram[15'o24065] = 12'o17; ram[15'o24066] = 12'o20; ram[15'o24067] = 12'o21; ram[15'o24070] = 12'o1; ram[15'o24071] = 12'o30; ram[15'o24072] = 12'o7777; ram[15'o24073] = 12'o40; ram[15'o24074] = 12'o2; ram[15'o24075] = 12'o43; ram[15'o24076] = 12'o60; ram[15'o24077] = 12'o44; ram[15'o24100] = 12'o7777; ram[15'o24101] = 12'o47; ram[15'o24102] = 12'o50; ram[15'o24103] = 12'o51; ram[15'o24104] = 12'o2; ram[15'o24105] = 12'o60; ram[15'o24106] = 12'o3; ram[15'o24107] = 12'o64; ram[15'o24110] = 12'o7777; ram[15'o24111] = 12'o67; ram[15'o24112] = 12'o70; ram[15'o24113] = 12'o71; ram[15'o24114] = 12'o3; ram[15'o24115] = 12'o0; ram[15'o24116] = 12'o6524; ram[15'o24117] = 12'o6265; ram[15'o24120] = 12'o531; ram[15'o24121] = 12'o5777; ram[15'o24122] = 12'o0; ram[15'o24123] = 12'o4202; ram[15'o24124] = 12'o4240; ram[15'o24125] = 12'o1321; ram[15'o24126] = 12'o3010; ram[15'o24127] = 12'o6211; ram[15'o24130] = 12'o3410; ram[15'o24131] = 12'o1010; ram[15'o24132] = 12'o1320; ram[15'o24133] = 12'o7640; ram[15'o24134] = 12'o5330; ram[15'o24135] = 12'o1316; ram[15'o24136] = 12'o3010; ram[15'o24137] = 12'o1064; ram[15'o24140] = 12'o3011; ram[15'o24141] = 12'o1372; ram[15'o24142] = 12'o3240; ram[15'o24143] = 12'o4060; ram[15'o24144] = 12'o1411; ram[15'o24145] = 12'o6211; ram[15'o24146] = 12'o3410; ram[15'o24147] = 12'o2240; ram[15'o24150] = 12'o5343; ram[15'o24151] = 12'o7324; ram[15'o24152] = 12'o3717; ram[15'o24153] = 12'o7040; ram[15'o24154] = 12'o2317; ram[15'o24155] = 12'o3717; ram[15'o24156] = 12'o2317; ram[15'o24157] = 12'o4060; ram[15'o24160] = 12'o1411; ram[15'o24161] = 12'o6211; ram[15'o24162] = 12'o7041; ram[15'o24163] = 12'o3717; ram[15'o24164] = 12'o4060; ram[15'o24165] = 12'o4500; ram[15'o24166] = 12'o6605; ram[15'o24167] = 12'o11; ram[15'o24170] = 12'o4501; ram[15'o24171] = 12'o5722; ram[15'o24172] = 12'o7771; ram[15'o24200] = 12'o7200; ram[15'o24201] = 12'o6224; ram[15'o24202] = 12'o1074; ram[15'o24203] = 12'o3061; ram[15'o24204] = 12'o4503; ram[15'o24205] = 12'o4210; ram[15'o24206] = 12'o7402; ram[15'o24207] = 12'o5203; ram[15'o24210] = 12'o3720; ram[15'o24211] = 12'o1405; ram[15'o24212] = 12'o123; ram[15'o24213] = 12'o540; ram[15'o24214] = 12'o417; ram[15'o24215] = 12'o1647; ram[15'o24216] = 12'o2440; ram[15'o24217] = 12'o2422; ram[15'o24220] = 12'o3140; ram[15'o24221] = 12'o2417; ram[15'o24222] = 12'o4014; ram[15'o24223] = 12'o1701; ram[15'o24224] = 12'o440; ram[15'o24225] = 12'o1116; ram[15'o24226] = 12'o1124; ram[15'o24227] = 12'o4027; ram[15'o24230] = 12'o1124; ram[15'o24231] = 12'o1040; ram[15'o24232] = 12'o2410; ram[15'o24233] = 12'o540; ram[15'o24234] = 12'o211; ram[15'o24235] = 12'o1601; ram[15'o24236] = 12'o2231; ram[15'o24237] = 12'o4014; ram[15'o24240] = 12'o1701; ram[15'o24241] = 12'o405; ram[15'o24242] = 12'o2240; ram[15'o24243] = 12'o0; ram[15'o24243] = 12'o1417; ram[15'o24244] = 12'o301; ram[15'o24245] = 12'o2405; ram[15'o24246] = 12'o440; ram[15'o24247] = 12'o1116; ram[15'o24250] = 12'o4006; ram[15'o24251] = 12'o1105; ram[15'o24252] = 12'o1404; ram[15'o24253] = 12'o4062; ram[15'o24254] = 12'o4100; ram[15'o27632] = 12'o5401; // bootstrap starts here ram[15'o24200] = 12'o7200; ram[15'o24201] = 12'o6224; ram[15'o24202] = 12'o1074; ram[15'o24203] = 12'o3061; ram[15'o24204] = 12'o4060; ram[15'o24205] = 12'o6761; ram[15'o24206] = 12'o75; ram[15'o24207] = 12'o6764; ram[15'o24210] = 12'o1240; ram[15'o24211] = 12'o3106; ram[15'o24212] = 12'o6030; ram[15'o24213] = 12'o4503; ram[15'o24214] = 12'o2365; ram[15'o24215] = 12'o4505; ram[15'o24216] = 12'o1237; ram[15'o24217] = 12'o3010; ram[15'o24220] = 12'o1410; ram[15'o24221] = 12'o7450; ram[15'o24222] = 12'o5233; ram[15'o24223] = 12'o1464; ram[15'o24224] = 12'o7650; ram[15'o24225] = 12'o5230; ram[15'o24226] = 12'o2010; ram[15'o24227] = 12'o5220; ram[15'o24230] = 12'o1410; ram[15'o24231] = 12'o3060; ram[15'o24232] = 12'o5460; ram[15'o24233] = 12'o7200; ram[15'o24234] = 12'o4503; ram[15'o24235] = 12'o3550; ram[15'o24236] = 12'o5200; ram[15'o24237] = 12'o4345; ram[15'o24240] = 12'o534; ram[15'o24241] = 12'o0; ram[15'o24242] = 12'o4060; ram[15'o24243] = 12'o1657; ram[15'o24244] = 12'o1260; ram[15'o24245] = 12'o7100; ram[15'o24246] = 12'o1073; ram[15'o24247] = 12'o7420; ram[15'o24250] = 12'o5233; ram[15'o24251] = 12'o7106; ram[15'o24252] = 12'o7004; ram[15'o24253] = 12'o1074; ram[15'o24254] = 12'o3255; ram[15'o24255] = 12'o0; ram[15'o24256] = 12'o5641; ram[15'o24257] = 12'o2556; ram[15'o24260] = 12'o7510; ram[15'o24261] = 12'o600; ram[15'o24262] = 12'o1403; ram[15'o24263] = 12'o1402; ram[15'o24264] = 12'o2132; ram[15'o24265] = 12'o1405; ram[15'o24266] = 12'o2402; ram[15'o24267] = 12'o1524; ram[15'o24270] = 12'o7764; ram[15'o24271] = 12'o4661; ram[15'o24272] = 12'o4500; ram[15'o24273] = 12'o6603; ram[15'o24274] = 12'o21; ram[15'o24275] = 12'o4501; ram[15'o24276] = 12'o4502; ram[15'o24277] = 12'o3104; ram[15'o24300] = 12'o7240; ram[15'o24301] = 12'o6211; ram[15'o24302] = 12'o3663; ram[15'o24303] = 12'o4060; ram[15'o24304] = 12'o4503; ram[15'o24305] = 12'o2544; ram[15'o24306] = 12'o4505; ram[15'o24307] = 12'o4504; ram[15'o24310] = 12'o6211; ram[15'o24311] = 12'o3662; ram[15'o24312] = 12'o1662; ram[15'o24313] = 12'o7101; ram[15'o24314] = 12'o1041; ram[15'o24315] = 12'o7630; ram[15'o24316] = 12'o5303; ram[15'o24317] = 12'o4060; ram[15'o24320] = 12'o4502; ram[15'o24321] = 12'o3164; ram[15'o24322] = 12'o1264; ram[15'o24323] = 12'o6211; ram[15'o24324] = 12'o3665; ram[15'o24325] = 12'o4060; ram[15'o24326] = 12'o4502; ram[15'o24327] = 12'o1544; ram[15'o24330] = 12'o4667; ram[15'o24331] = 12'o1270; ram[15'o24332] = 12'o6211; ram[15'o24333] = 12'o3666; ram[15'o24334] = 12'o4060; ram[15'o24335] = 12'o4500; ram[15'o24336] = 12'o6605; ram[15'o24337] = 12'o21; ram[15'o24340] = 12'o4501; ram[15'o24341] = 12'o4502; ram[15'o24342] = 12'o3754; ram[15'o24343] = 12'o5401; ram[15'o24344] = 12'o5745; ram[15'o24345] = 12'o3603; ram[15'o24346] = 12'o7464; ram[15'o24347] = 12'o3523; ram[15'o24350] = 12'o7474; ram[15'o24351] = 12'o3522; ram[15'o24352] = 12'o7467; ram[15'o24353] = 12'o2014; ram[15'o24354] = 12'o7455; ram[15'o24355] = 12'o2015; ram[15'o24356] = 12'o7450; ram[15'o24357] = 12'o7000; ram[15'o24360] = 12'o7476; ram[15'o24361] = 12'o1000; ram[15'o24362] = 12'o7460; ram[15'o24363] = 12'o200; ram[15'o24364] = 12'o7454; ram[15'o24365] = 12'o3400; ram[15'o24366] = 12'o7461; ram[15'o24367] = 12'o1041; ram[15'o24370] = 12'o7475; ram[15'o24371] = 12'o3000; ram[15'o24372] = 12'o7447; ram[15'o24373] = 12'o3221; ram[15'o24374] = 12'o7446; ram[15'o24375] = 12'o4046; ram[15'o24376] = 12'o7463; ram[15'o24377] = 12'o2227; ram[15'o24400] = 12'o7451; ram[15'o24401] = 12'o2200; ram[15'o24402] = 12'o7473; ram[15'o24403] = 12'o1334; ram[15'o24404] = 12'o7456; ram[15'o24405] = 12'o1322; ram[15'o24406] = 12'o7465; ram[15'o24407] = 12'o1320; ram[15'o24410] = 12'o0; ram[15'o25400] = 12'o70; ram[15'o26400] = 12'o4434; ram[15'o26401] = 12'o4434; ram[15'o26402] = 12'o4434; ram[15'o24724] = 12'o6031; ram[15'o24726] = 12'o5376; ram[15'o24776] = 12'o6032; ram[15'o24777] = 12'o5772; ram[15'o25004] = 12'o6041; ram[15'o25005] = 12'o5204; ram[15'o25006] = 12'o7410; ram[15'o25007] = 12'o6203; ram[15'o25010] = 12'o5611; ram[15'o25011] = 12'o4200; ram[15'o25523] = 12'o1222; ram[15'o25547] = 12'o7000; ram[15'o25572] = 12'o7760; ram[15'o26045] = 12'o5225; ram[15'o27600] = 12'o0; ram[15'o27601] = 12'o6032; ram[15'o27602] = 12'o6014; ram[15'o27603] = 12'o1243; ram[15'o27604] = 12'o3304; ram[15'o27605] = 12'o6031; ram[15'o27606] = 12'o5211; ram[15'o27607] = 12'o1205; ram[15'o27610] = 12'o5214; ram[15'o27611] = 12'o6011; ram[15'o27612] = 12'o5274; ram[15'o27613] = 12'o1367; ram[15'o27614] = 12'o3336; ram[15'o27615] = 12'o4306; ram[15'o27616] = 12'o5220; ram[15'o27617] = 12'o5215; ram[15'o27620] = 12'o4306; ram[15'o27621] = 12'o5220; ram[15'o27622] = 12'o3371; ram[15'o27623] = 12'o3370; ram[15'o27624] = 12'o1372; ram[15'o27625] = 12'o1373; ram[15'o27626] = 12'o7500; ram[15'o27627] = 12'o5263; ram[15'o27630] = 12'o1374; ram[15'o27631] = 12'o3354; ram[15'o27632] = 12'o4335; ram[15'o27633] = 12'o3355; ram[15'o27634] = 12'o4306; ram[15'o27635] = 12'o5250; ram[15'o27636] = 12'o4265; ram[15'o27637] = 12'o7430; ram[15'o27640] = 12'o5356; ram[15'o27641] = 12'o3771; ram[15'o27642] = 12'o2371; ram[15'o27643] = 12'o7600; ram[15'o27644] = 12'o1354; ram[15'o27645] = 12'o1355; ram[15'o27646] = 12'o1370; ram[15'o27647] = 12'o5223; ram[15'o27650] = 12'o4265; ram[15'o27651] = 12'o7041; ram[15'o27652] = 12'o1370; ram[15'o27653] = 12'o2200; ram[15'o27654] = 12'o7450; ram[15'o27655] = 12'o5600; ram[15'o27656] = 12'o3370; ram[15'o27657] = 12'o4503; ram[15'o27660] = 12'o3537; ram[15'o27661] = 12'o1370; ram[15'o27662] = 12'o5600; ram[15'o27663] = 12'o375; ram[15'o27664] = 12'o5600; ram[15'o27665] = 12'o0; ram[15'o27666] = 12'o1354; ram[15'o27667] = 12'o7106; ram[15'o27670] = 12'o7006; ram[15'o27671] = 12'o7006; ram[15'o27672] = 12'o1355; ram[15'o27673] = 12'o5665; ram[15'o27674] = 12'o4360; ram[15'o27675] = 12'o5205; ram[15'o27676] = 12'o4200; ram[15'o27677] = 12'o5302; ram[15'o27700] = 12'o7402; ram[15'o27701] = 12'o5276; ram[15'o27702] = 12'o1376; ram[15'o27703] = 12'o3304; ram[15'o27704] = 12'o0; ram[15'o27705] = 12'o5332; ram[15'o27706] = 12'o0; ram[15'o27707] = 12'o3265; ram[15'o27710] = 12'o1243; ram[15'o27711] = 12'o3304; ram[15'o27712] = 12'o4335; ram[15'o27713] = 12'o1300; ram[15'o27714] = 12'o7750; ram[15'o27715] = 12'o5321; ram[15'o27716] = 12'o2265; ram[15'o27717] = 12'o7040; ram[15'o27720] = 12'o5307; ram[15'o27721] = 12'o1265; ram[15'o27722] = 12'o7640; ram[15'o27723] = 12'o5310; ram[15'o27724] = 12'o1372; ram[15'o27725] = 12'o374; ram[15'o27726] = 12'o1243; ram[15'o27727] = 12'o7640; ram[15'o27730] = 12'o2306; ram[15'o27731] = 12'o5706; ram[15'o27732] = 12'o4306; ram[15'o27733] = 12'o5250; ram[15'o27734] = 12'o5224; ram[15'o27735] = 12'o0; ram[15'o27736] = 12'o7402; ram[15'o27737] = 12'o5352; ram[15'o27740] = 12'o6036; ram[15'o27741] = 12'o3372; ram[15'o27742] = 12'o1372; ram[15'o27743] = 12'o5735; ram[15'o27744] = 12'o6011; ram[15'o27745] = 12'o5352; ram[15'o27746] = 12'o6016; ram[15'o27747] = 12'o5341; ram[15'o27750] = 12'o7751; ram[15'o27751] = 12'o7751; ram[15'o27752] = 12'o4360; ram[15'o27753] = 12'o5336; ram[15'o27754] = 12'o0; ram[15'o27755] = 12'o0; ram[15'o27756] = 12'o3371; ram[15'o27757] = 12'o5244; ram[15'o27760] = 12'o0; ram[15'o27761] = 12'o2372; ram[15'o27762] = 12'o5760; ram[15'o27763] = 12'o2304; ram[15'o27764] = 12'o5760; ram[15'o27765] = 12'o7240; ram[15'o27766] = 12'o5253; ram[15'o27767] = 12'o5344; ram[15'o27770] = 12'o0; ram[15'o27771] = 12'o0; ram[15'o27772] = 12'o0; ram[15'o27773] = 12'o7500; ram[15'o27774] = 12'o300; ram[15'o27775] = 12'o70; ram[15'o27776] = 12'o6201; ram[15'o27777] = 12'o5301;