Version 3.2 HI-TECH Software Intermediate Code "12 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\stdio.h [v _ptrdiff_t `i 1 t ] "13 [v _size_t `ui 1 t ] "14 [v _wchar_t `us 1 t ] "53 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\stdarg.h [v _va_list `*v -> -> 1 `i `ux t ] "39 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\stdio.h [s S1 `*uc 1 `i 1 `*uc 1 `us 1 `s 1 `ui 1 ] [n S1 _iobuf _ptr _cnt _base _flag _file _size ] "54 [s S2 `uc -> 81 `i `*S1 1 ] [n S2 _tfiles tname tfp ] "166 [v F113 `(v 1 tf ] "47 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\stdlib.h [s S3 `i 1 `i 1 ] [n S3 . quot rem ] [v _div_t `S3 1 t ] "51 [s S4 `ui 1 `ui 1 ] [n S4 . quot rem ] [v _udiv_t `S4 1 t ] "55 [s S5 `l 1 `l 1 ] [n S5 . quot rem ] [v _ldiv_t `S5 1 t ] "59 [s S6 `ul 1 `ul 1 ] [n S6 . quot rem ] [v _uldiv_t `S6 1 t ] "122 [v F213 `(v 1 tf ] "126 [v F225 `(i 1 tf2`*Cv`*Cv ] "127 [v F234 `(i 1 tf2`*Cv`*Cv ] "38 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\setjmp.h [v _jmp_buf `ui -> 4 `i t ] "35 C:\Program Files\HI-TECH Software\PICC\LITE\9.60\include\signal.h [v F339 `(v 1 tf1`i ] [v F342 `(v 1 tf1`i ] "26 focal.h [s S7 `*S7 1 `uc 1 `uc 1 `uc -> -> 1 `i `ux ] [n S7 line l_fp l_lno l_gno l_text ] "33 [s S8 `uc 1 `uc 1 `i 1 ] [n S8 lno ln_lno ln_gno ln_type ] "47 [v F411 `(d 1 tf1`d ] "48 [u S10 `d 1 `*F411 1 ] [n S10 . s_value s_fp ] "50 [s S9 `*S9 1 `i 1 `i 1 `S10 1 `uc -> -> 1 `i `ux ] [n S9 sym s_fp s_type s_subs s_un s_id ] "65 [s S11 `*S11 1 `i 1 `*S7 1 `*uc 1 `*S9 1 `f 1 `f 1 ] [n S11 control c_fp c_mode c_lp c_tp c_sp c_limit c_step ] "10 proto.h [v F442 `(d 1 tf1`d ] "54 focal0.c [v _cbuf `uc -> 128 `i e ] "55 [v _abuf `uc -> 128 `i e ] "56 [v _ctp `*uc 1 e ] "57 [v _linev `*S7 1 e ] "58 [v _clp `*S7 1 e ] "59 [v _mode `i 1 e ] "60 [v _forsp `*S9 1 e ] "61 [v _forlimit `f 1 e ] "62 [v _forstep `f 1 e ] "63 [v _controlv `*S11 1 e ] "64 [v _env `ui -> 4 `i e ] "65 [v _intflag `i 1 e ] "67 [v _symv `*S9 + -> 255 `i -> 1 `i e ] "70 [v _main `(i 1 e ] [p mainexit ] { [e :U _main ] [f ] "71 [v _c `i 1 A ] "74 [v _builtin `(v 0 ef2`*Cuc`*F442 ] [v _fsin `(d 0 ef1`d ] [e ( _builtin (2 , :s 1C &U _fsin ] "75 [v _fcos `(d 0 ef1`d ] [e ( _builtin (2 , :s 2C &U _fcos ] "76 [v _fexp `(d 0 ef1`d ] [e ( _builtin (2 , :s 3C &U _fexp ] "77 [v _flog `(d 0 ef1`d ] [e ( _builtin (2 , :s 4C &U _flog ] "78 [v _fatn `(d 0 ef1`d ] [e ( _builtin (2 , :s 5C &U _fatn ] "79 [v _fsqt `(d 0 ef1`d ] [e ( _builtin (2 , :s 6C &U _fsqt ] "80 [v _fabt `(d 0 ef1`d ] [e ( _builtin (2 , :s 7C &U _fabt ] "81 [v _fsgn `(d 0 ef1`d ] [e ( _builtin (2 , :s 8C &U _fsgn ] "82 [v _fitr `(d 0 ef1`d ] [e ( _builtin (2 , :s 9C &U _fitr ] "83 [v _fran `(d 0 ef1`d ] [e ( _builtin (2 , :s 10C &U _fran ] "85 [v _setjmp `(i 0 ef1`*ui ] [e ( _setjmp (1 &U _env ] "86 [v _catchcc `(v 0 ef ] [e ( _catchcc .. ] "87 [e :U 13 ] "88 [v _fputc `(i 0 ef2`i`*S1 ] [v __iob `S1 -> 0 `x e ] [e ( _fputc (2 , -> -> 42 `ui `i &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "89 [v _gets `(*uc 0 ef1`*uc ] [e $ ! == ( _gets (1 &U _cbuf -> -> 0 `i `*uc 16 ] "90 [e ( _fputc (2 , -> -> 10 `ui `i &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "91 [e $U 14 ] "92 [e :U 16 ] "93 [e = _mode -> 0 `i ] "94 [e = _clp -> -> 0 `i `*S7 ] "95 [e = _ctp &U _cbuf ] "96 [v _getnb `(i 0 ef ] [e $ ! != = _c ( _getnb .. -> 0 `i 17 ] "97 [v __ctype_ `Cuc -> 0 `x e ] [e $ ! != & -> *U + + &U __ctype_ * -> -> 1 `i `x -> -> # `Cuc `i `x * -> -> _c `uc `ux -> -> # `Cuc `ui `ux `i -> 4 `i -> 0 `i 18 ] "98 [v _inject `(v 0 ef1`i ] [e ( _inject (1 _c ] [e $U 19 ] "99 [e :U 18 ] "100 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "101 [v _process `(v 0 ef ] [e ( _process .. ] "102 [e :U 19 ] "103 [e :U 17 ] "104 [e $U 13 ] [e :U 14 ] "105 [e ) -> 0 `i ] [e $UE 12 ] "106 [e :UE 12 ] } "116 [v _builtin `(v 1 ef2`*Cuc`*F442 ] { "117 [v F540 `(d 1 tf1`d ] "118 [v _cp `*Cuc 1 r1 ] [v _fp `*F540 1 r2 ] [e :U _builtin ] [f ] "119 [v _sp `*S9 1 A ] [e = _sp -> -> 0 `i `*S9 ] "120 [v _ix `i 1 a ] "122 [v _malloc `(*v 0 ef1`ui ] [v _strlen `(ui 0 ef1`*Cuc ] [e = _sp -> ( _malloc (1 + + -> # `S9 `ui ( _strlen (1 _cp -> -> 1 `i `ui `*S9 ] "123 [e $ ! == _sp -> -> 0 `i `*S9 21 ] "124 [v _fprintf `(i 0 e1v`*S1`*Cuc ] [e ( _fprintf (1 , (. , &U *U + &U __iob * -> -> -> 2 `i `ui `ux -> -> # `S1 `ui `ux :s 11C _cp ] "125 [v _exit `(v 0 ef1`i ] [e ( _exit (1 -> 1 `i ] "126 [e :U 21 ] "127 [e = _ix -> & -> + << -> *U + _cp * -> -> 1 `i `x -> -> # `Cuc `i `x `i -> 5 `i -> *U + _cp * -> -> 0 `i `x -> -> # `Cuc `i `x `i `ui -> -> 255 `i `ui `i ] "128 [e = . *U _sp 0 *U + &U _symv * -> -> _ix `ui `ux -> -> # `*S9 `ui `ux ] "129 [e = *U + &U _symv * -> -> _ix `ui `ux -> -> # `*S9 `ui `ux _sp ] "130 [e = . *U _sp 1 -> 2 `i ] "131 [e = . *U _sp 2 -> 0 `i ] "132 [e = . . *U _sp 3 1 _fp ] "133 [v _strcpy `(*uc 0 ef2`*uc`*Cuc ] [e ( _strcpy (2 , &U . *U _sp 4 _cp ] "134 [e :UE 20 ] } "166 [v _process `(v 1 ef ] { [e :U _process ] [f ] "167 [v _limit `f 1 a ] [v _step `f 1 a ] [e = _step -> .1.0 `f ] "168 [v _value `f 1 a ] "169 [v _c `i 1 A ] "170 [v _sp `*S9 1 A ] "171 [v _lp `*S7 1 A ] "172 [v _lno `S8 1 a ] "173 [v _lp1 `*S7 1 a ] [v _lp2 `*S7 1 a ] [v _lp3 `*S7 1 a ] "174 [v _grp `i 1 a ] [e = _grp -> 0 `i ] "176 [e :U 23 ] "177 [e $ ! != _intflag -> 0 `i 24 ] "178 [e = _intflag -> 0 `i ] "179 [v _diag `(v 0 ef1`*Cuc ] [e ( _diag (1 :s 12C ] "180 [e :U 24 ] "181 [e $U 25 ] [e :U 26 ] "182 [e :U 25 ] "181 [e $ == -> = _c ( _getnb .. `ui -> 59 `ui 26 ] [e :U 27 ] "183 [e $ ! == _c -> 0 `i 28 ] "184 [e $ ! == _mode -> 4 `i 29 ] "185 [e =+ . . *U _forsp 3 0 -> _forstep `d ] "187 [e $ ! || && > -> _forstep `d .0.0 <= . . *U _forsp 3 0 -> _forlimit `d && < -> _forstep `d .0.0 >= . . *U _forsp 3 0 -> _forlimit `d 30 ] "188 [e = _clp . *U _controlv 2 ] "189 [e = _ctp . *U _controlv 3 ] "190 [e $U 23 ] "191 [e :U 30 ] "192 [v _popfor `(v 0 ef ] [e ( _popfor .. ] "193 [e :U 29 ] "194 [e $ ! != _clp -> -> 0 `i `*S7 31 ] "195 [e = _grp -> . *U _clp 2 `i ] "196 [e = _clp . *U _clp 0 ] "197 [e = _ctp &U . *U _clp 3 ] "198 [e :U 31 ] "199 [e $ ! == _clp -> -> 0 `i `*S7 32 ] "200 [e $ ! == _mode -> 0 `i 33 ] "201 [e $UE 22 ] [e :U 33 ] "202 [v _popdo `(v 0 ef ] [e ( _popdo .. ] "203 [e $U 34 ] [e :U 32 ] "204 [e $ ! || == _mode -> 1 `i && == _mode -> 2 `i != _grp -> . *U _clp 2 `i 35 ] "205 [e ( _popdo .. ] [e :U 35 ] "206 [e :U 34 ] [e $U 23 ] "207 [e :U 28 ] "208 [e $U 36 ] [e :U 37 ] "209 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] [e :U 36 ] "208 [e $ != & -> *U + + &U __ctype_ * -> -> 1 `i `x -> -> # `Cuc `i `x * -> *U _ctp `ux -> -> # `Cuc `ui `ux `i | -> 1 `i -> 2 `i -> 0 `i 37 ] [e :U 38 ] "210 [e $ ! != & -> *U + + &U __ctype_ * -> -> 1 `i `x -> -> # `Cuc `i `x * -> -> _c `uc `ux -> -> # `Cuc `ui `ux `i -> 1 `i -> 0 `i 39 ] "211 [v _tolower `(uc 0 ef1`i ] [e = _c -> ( _tolower (1 _c `i ] [e :U 39 ] "212 [e $U 41 ] "214 [e :U 42 ] "215 [v _ask `(v 0 ef ] [e ( _ask .. ] "216 [e $U 40 ] "218 [e :U 43 ] "219 [e $U 44 ] [e :U 45 ] "220 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] [e :U 44 ] "219 [e $ != -> *U _ctp `i -> 0 `i 45 ] [e :U 46 ] "221 [e $U 40 ] "223 [e :U 47 ] "224 [v _getlno `(v 0 ef2`*S8`i ] [e ( _getlno (2 , &U _lno -U -> 1 `i ] "225 [v _pushcntl `(v 0 ef ] [e ( _pushcntl .. ] "226 [e $ ! || == . _lno 2 -> 3 `i == . _lno 2 -> 0 `i 48 ] "227 [e $ ! == = _clp _linev -> -> 0 `i `*S7 49 ] "228 [e ( _diag (1 :s 13C ] [e :U 49 ] "229 [e = _ctp &U . *U _clp 3 ] "230 [e = _mode -> 3 `i ] "231 [e $U 23 ] "232 [e :U 48 ] "233 [e $ ! == . _lno 2 -> 1 `i 50 ] "234 [e = _lp _linev ] "235 [e $U 51 ] [e :U 52 ] "236 [e = _lp . *U _lp 0 ] [e :U 51 ] "235 [e $ && != _lp -> -> 0 `i `*S7 < -> . *U _lp 2 `i -> . _lno 1 `i 52 ] [e :U 53 ] "237 [e $ ! && != _lp -> -> 0 `i `*S7 == -> . *U _lp 2 `i -> . _lno 1 `i 54 ] "238 [e = _clp _lp ] "239 [e = _ctp &U . *U _clp 3 ] "240 [e = _mode -> 2 `i ] "241 [e $U 23 ] "242 [e :U 54 ] "243 [v _badline `(v 0 ef ] [e ( _badline .. ] "244 [e :U 50 ] "245 [e = _lp _linev ] "248 [e $U 55 ] [e :U 56 ] "249 [e = _lp . *U _lp 0 ] [e :U 55 ] "246 [e $ && != _lp -> -> 0 `i `*S7 || != -> . *U _lp 2 `i -> . _lno 1 `i != -> . *U _lp 1 `i -> . _lno 0 `i 56 ] [e :U 57 ] "250 [e $ ! != _lp -> -> 0 `i `*S7 58 ] "251 [e = _clp _lp ] "252 [e = _ctp &U . *U _clp 3 ] "253 [e = _mode -> 1 `i ] "254 [e $U 23 ] "255 [e :U 58 ] "256 [e ( _badline .. ] "258 [e :U 59 ] "259 [e ( _getlno (2 , &U _lno -U -> 1 `i ] "260 [e $ ! == . _lno 2 -> 3 `i 60 ] "261 [v _erasesyms `(v 0 ef ] [e ( _erasesyms .. ] "262 [e $U 40 ] "263 [e :U 60 ] "264 [e = _lp1 -> -> 0 `i `*S7 ] "265 [e = _lp2 _linev ] "266 [e $U 61 ] [e :U 62 ] "269 [e $ ! || == . _lno 2 -> 0 `i && == -> . *U _lp2 2 `i -> . _lno 1 `i || == . _lno 2 -> 1 `i == -> . *U _lp2 1 `i -> . _lno 0 `i 64 ] "270 [e $ ! == _lp2 _clp 65 ] "271 [e ( _diag (1 :s 14C ] [e :U 65 ] "272 [e = _lp3 _lp2 ] "273 [e = _lp2 . *U _lp2 0 ] "274 [e $ ! == _lp1 -> -> 0 `i `*S7 66 ] "275 [e = _linev _lp2 ] [e $U 67 ] [e :U 66 ] "276 [e = . *U _lp1 0 _lp2 ] [e :U 67 ] "277 [v _free `(v 0 ef1`*v ] [e ( _free (1 -> -> _lp3 `*uc `*v ] "278 [e $U 68 ] [e :U 64 ] "279 [e = _lp1 _lp2 ] "280 [e = _lp2 . *U _lp2 0 ] "281 [e :U 68 ] "282 [e :U 61 ] "266 [e $ != _lp2 -> -> 0 `i `*S7 62 ] [e :U 63 ] "283 [e $U 40 ] "285 [e :U 69 ] "286 [v _getsym `(*S9 0 ef ] [e = _sp ( _getsym .. ] "287 [v _clearfors `(v 0 ef1`*S9 ] [e ( _clearfors (1 _sp ] "288 [e $ ! != -> ( _getnb .. `ui -> 61 `ui 70 ] "289 [e ( _diag (1 :s 15C ] [e :U 70 ] "290 [v _eval `(d 0 ef ] [e = . . *U _sp 3 0 ( _eval .. ] "291 [e $ ! != -> ( _getnb .. `ui -> 44 `ui 71 ] "292 [e ( _diag (1 :s 16C ] [e :U 71 ] "293 [e = _limit -> ( _eval .. `f ] "294 [e $ ! == -> = _c ( _getnb .. `ui -> 59 `ui 72 ] "295 [e = _step -> .1.0 `f ] [e $U 73 ] "296 [e :U 72 ] [e $ ! == -> _c `ui -> 44 `ui 74 ] "297 [e = _step -> ( _eval .. `f ] "298 [e $ ! != -> ( _getnb .. `ui -> 59 `ui 75 ] "299 [e ( _diag (1 :s 17C ] [e :U 75 ] "300 [e $U 76 ] [e :U 74 ] "301 [e ( _diag (1 :s 18C ] [e :U 76 ] [e :U 73 ] "302 [e ( _pushcntl .. ] "303 [e = _forsp _sp ] "304 [e = _forlimit _limit ] "305 [e = _forstep _step ] "306 [e = _mode -> 4 `i ] "307 [e $U 40 ] "309 [e :U 77 ] "310 [e ( _getlno (2 , &U _lno -U -> 1 `i ] "311 [e $ ! == . _lno 2 -> 3 `i 78 ] "312 [e $ ! == = _clp _linev -> -> 0 `i `*S7 79 ] "313 [e ( _diag (1 :s 19C ] [e :U 79 ] "314 [e = _ctp &U . *U _clp 3 ] "315 [e $U 23 ] "316 [e $U 80 ] [e :U 78 ] [e $ ! == . _lno 2 -> 2 `i 81 ] "317 [e = _lp _linev ] "320 [e $U 82 ] [e :U 83 ] "321 [e = _lp . *U _lp 0 ] [e :U 82 ] "318 [e $ && != _lp -> -> 0 `i `*S7 || != -> . *U _lp 2 `i -> . _lno 1 `i != -> . *U _lp 1 `i -> . _lno 0 `i 83 ] [e :U 84 ] "322 [e $ ! != _lp -> -> 0 `i `*S7 85 ] "323 [e = _clp _lp ] "324 [e = _ctp &U . *U _clp 3 ] "325 [e $U 23 ] "326 [e :U 85 ] "327 [e :U 81 ] "328 [e :U 80 ] [e ( _badline .. ] "330 [e :U 86 ] "331 [e = _value -> ( _eval .. `f ] "332 [e $ ! >= -> _value `d .0.0 87 ] "333 [e $U 88 ] [e :U 89 ] "334 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] [e :U 88 ] "333 [e $ && && != = _c -> *U _ctp `i -> 0 `i != -> _c `ui -> 44 `ui != -> _c `ui -> 59 `ui 89 ] [e :U 90 ] "335 [e $ ! != -> _c `ui -> 44 `ui 91 ] "336 [e $U 23 ] [e :U 91 ] "337 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "338 [e $ ! != -> _value `d .0.0 92 ] "339 [e $U 93 ] [e :U 94 ] "340 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] [e :U 93 ] "339 [e $ && && != = _c -> *U _ctp `i -> 0 `i != -> _c `ui -> 44 `ui != -> _c `ui -> 59 `ui 94 ] [e :U 95 ] "341 [e $ ! != -> _c `ui -> 44 `ui 96 ] "342 [e $U 23 ] [e :U 96 ] "343 [e =+ _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "344 [e :U 92 ] "345 [e :U 87 ] "346 [e ( _getlno (2 , &U _lno -U -> 1 `i ] "347 [e $ ! == . _lno 2 -> 2 `i 97 ] "348 [e = _lp _linev ] "351 [e $U 98 ] [e :U 99 ] "352 [e = _lp . *U _lp 0 ] [e :U 98 ] "349 [e $ && != _lp -> -> 0 `i `*S7 || != -> . *U _lp 2 `i -> . _lno 1 `i != -> . *U _lp 1 `i -> . _lno 0 `i 99 ] [e :U 100 ] "353 [e $ ! != _lp -> -> 0 `i `*S7 101 ] "354 [e = _clp _lp ] "355 [e = _ctp &U . *U _clp 3 ] "356 [e $U 23 ] "357 [e :U 101 ] "358 [e :U 97 ] "359 [e ( _badline .. ] "361 [e :U 102 ] "362 [v _library `(v 0 ef ] [e ( _library .. ] "363 [e $U 40 ] "365 [e :U 103 ] "366 [e $ ! == _clp -> -> 0 `i `*S7 104 ] "367 [e ( _exit (1 -> 0 `i ] [e :U 104 ] "368 [e $UE 22 ] "370 [e :U 105 ] "371 [v _type `(v 0 ef ] [e ( _type .. ] "372 [e $U 40 ] "374 [e :U 106 ] "375 [e $U 107 ] [e :U 108 ] "376 [e ( _popfor .. ] [e :U 107 ] "375 [e $ == _mode -> 4 `i 108 ] [e :U 109 ] "377 [e ( _popdo .. ] "378 [e $U 40 ] "380 [e :U 110 ] "381 [e = _sp ( _getsym .. ] "382 [e $ ! != -> ( _getnb .. `ui -> 61 `ui 111 ] "383 [e ( _diag (1 :s 20C ] [e :U 111 ] "384 [e = . . *U _sp 3 0 ( _eval .. ] "385 [e $U 40 ] "387 [e :U 112 ] "388 [e ( _getlno (2 , &U _lno -U -> 1 `i ] "389 [v _save `(v 0 ef2`*S8`*S1 ] [e ( _save (2 , &U _lno &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "390 [e $U 40 ] "398 [e :U 113 ] "399 [e ( _diag (1 :s 21C ] "400 [e $U 40 ] "212 [e :U 41 ] [e [\ _c , $ -> -> 97 `ui `i 42 , $ -> -> 99 `ui `i 43 , $ -> -> 100 `ui `i 47 , $ -> -> 101 `ui `i 59 , $ -> -> 102 `ui `i 69 , $ -> -> 103 `ui `i 77 , $ -> -> 105 `ui `i 86 , $ -> -> 108 `ui `i 102 , $ -> -> 113 `ui `i 103 , $ -> -> 116 `ui `i 105 , $ -> -> 114 `ui `i 106 , $ -> -> 115 `ui `i 110 , $ -> -> 119 `ui `i 112 113 ] "400 [e :U 40 ] "401 [e $U 23 ] "402 [e :UE 22 ] } "413 [v _ask `(v 1 ef ] { [e :U _ask ] [f ] "414 [v _sp `*S9 1 A ] "415 [v _c `i 1 A ] "417 [e $U 115 ] [e :U 116 ] "418 [e $ ! == -> _c `ui -> 34 `ui 118 ] "419 [e $U 119 ] [e :U 120 ] "420 [e ( _fputc (2 , _c &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] [e :U 119 ] "419 [e $ && != = _c -> *U ++ _ctp * -> -> 1 `i `x -> -> # `uc `i `x `i -> 0 `i != -> _c `ui -> 34 `ui 120 ] [e :U 121 ] "421 [e $ ! != _c -> 0 `i 122 ] "422 [e $U 115 ] [e :U 122 ] "423 [e ( _diag (1 :s 22C ] "424 [e :U 118 ] "425 [e $ ! == -> _c `ui -> 44 `ui 123 ] "426 [e $U 115 ] [e :U 123 ] "427 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "428 [e = _sp ( _getsym .. ] "429 [v _printf `(i 0 ev`*Cuc ] [e ( _printf :s 23C ] "430 [e $ ! == ( _gets (1 &U _abuf -> -> 0 `i `*uc 124 ] "431 [e ( _fputc (2 , -> -> 10 `ui `i &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "432 [e ( _diag (1 :s 24C ] "433 [e :U 124 ] "434 [v _atof `(d 0 ef1`*Cuc ] [e = . . *U _sp 3 0 ( _atof (1 -> &U _abuf `*Cuc ] "435 [e :U 115 ] "417 [e $ && != = _c ( _getnb .. -> 0 `i != -> _c `ui -> 59 `ui 116 ] [e :U 117 ] "436 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "437 [e :UE 114 ] } "444 [v _badline `(v 1 ef ] { [e :U _badline ] [f ] "445 [e ( _diag (1 :s 25C ] "446 [e :UE 125 ] } "453 [v _ccb_free `*S11 1 s ] "464 [v _newcontrol `(*S11 1 ef ] { [e :U _newcontrol ] [f ] "465 [v _cp `*S11 1 a ] "467 [e $ ! != _ccb_free -> -> 0 `i `*S11 127 ] "468 [e = _cp _ccb_free ] "469 [e = _ccb_free . *U _cp 0 ] "470 [e $U 128 ] [e :U 127 ] "471 [e $ ! == = _cp -> ( _malloc (1 -> # `S11 `ui `*S11 -> -> 0 `i `*S11 129 ] "472 [e ( _diag (1 :s 26C ] "473 [e :U 129 ] "474 [e :U 128 ] [e ) _cp ] [e $UE 126 ] "475 [e :UE 126 ] } "483 [v _freecontrol `(v 1 ef1`*S11 ] { [v _cp `*S11 1 r1 ] [e :U _freecontrol ] [f ] "484 [e = . *U _cp 0 _ccb_free ] "485 [e = _ccb_free _cp ] "486 [e :UE 130 ] } "499 [v _pushcntl `(v 1 ef ] { [e :U _pushcntl ] [f ] "500 [v _cp `*S11 1 A ] "502 [e = _cp ( _newcontrol .. ] "503 [e = . *U _cp 0 _controlv ] "504 [e = _controlv _cp ] "505 [e = . *U _cp 1 _mode ] "506 [e = . *U _cp 3 _ctp ] "507 [e = . *U _cp 2 _clp ] "508 [e $ ! == _mode -> 4 `i 132 ] "509 [e = . *U _cp 4 _forsp ] "510 [e = . *U _cp 5 _forlimit ] "511 [e = . *U _cp 6 _forstep ] "512 [e :U 132 ] "513 [e :UE 131 ] } "521 [v _popcntl `(v 1 ef ] { [e :U _popcntl ] [f ] "522 [v _cp `*S11 1 A ] "524 [e = _cp _controlv ] "525 [e = _controlv . *U _cp 0 ] "526 [e = _ctp . *U _cp 3 ] "527 [e = _clp . *U _cp 2 ] "528 [e = _mode . *U _cp 1 ] "529 [e $ ! == _mode -> 4 `i 134 ] "530 [e = _forsp . *U _cp 4 ] "531 [e = _forlimit . *U _cp 5 ] "532 [e = _forstep . *U _cp 6 ] "533 [e :U 134 ] "534 [e ( _freecontrol (1 _cp ] "535 [e :UE 133 ] } "544 [v _popdo `(v 1 ef ] { [e :U _popdo ] [f ] "545 [e $ ! == _controlv -> -> 0 `i `*S11 136 ] "546 [e ( _diag (1 :s 27C ] [e :U 136 ] "547 [e ( _popcntl .. ] "548 [e :UE 135 ] } "557 [v _popfor `(v 1 ef ] { [e :U _popfor ] [f ] "558 [e $ ! == _controlv -> -> 0 `i `*S11 138 ] "559 [e ( _diag (1 :s 28C ] [e :U 138 ] "560 [e ( _popcntl .. ] "561 [e :UE 137 ] } "575 [v _clearfors `(v 1 ef1`*S9 ] { "576 [v _sp `*S9 1 R1 ] [e :U _clearfors ] [f ] "577 [v _cp1 `*S11 1 A ] "578 [v _cp2 `*S11 1 A ] "580 [e $ ! && == _mode -> 4 `i == _forsp _sp 140 ] "581 [e ( _popfor .. ] [e $U 141 ] "582 [e :U 140 ] "583 [e = _cp1 -> -> 0 `i `*S11 ] "584 [e = _cp2 _controlv ] "585 [e $U 142 ] [e :U 143 ] "586 [e $ ! && == . *U _cp2 1 -> 4 `i == . *U _cp2 4 _sp 145 ] "587 [e $ ! == _cp1 -> -> 0 `i `*S11 146 ] "588 [e = _controlv . *U _cp2 0 ] [e $U 147 ] "589 [e :U 146 ] "590 [e = . *U _cp1 0 . *U _cp2 0 ] [e :U 147 ] "591 [e ( _freecontrol (1 _cp2 ] "592 [e $U 144 ] "593 [e :U 145 ] "594 [e = _cp1 _cp2 ] "595 [e = _cp2 . *U _cp2 0 ] "596 [e :U 142 ] "585 [e $ != _cp2 -> -> 0 `i `*S11 143 ] [e :U 144 ] "597 [e :U 141 ] "598 [e :UE 139 ] } "608 [v _inject `(v 1 ef1`i ] { "609 [v _c `i 1 R1 ] [e :U _inject ] [f ] "610 [v _lp1 `*S7 1 A ] "611 [v _lp2 `*S7 1 A ] "612 [v _lp3 `*S7 1 A ] "613 [v _lno `S8 1 a ] "615 [e ( _getlno (2 , &U _lno _c ] "616 [e $ ! != . _lno 2 -> 2 `i 149 ] "617 [e ( _diag (1 :s 29C ] [e :U 149 ] "618 [e = _lp1 -> -> 0 `i `*S7 ] "619 [e = _lp2 _linev ] "622 [e $U 150 ] [e :U 151 ] "624 [e $ ! && == -> . *U _lp2 2 `i -> . _lno 1 `i == -> . *U _lp2 1 `i -> . _lno 0 `i 153 ] "625 [e = _lp3 _lp2 ] "626 [e = _lp2 . *U _lp2 0 ] "627 [e $ ! == _lp1 -> -> 0 `i `*S7 154 ] "628 [e = _linev _lp2 ] [e $U 155 ] "629 [e :U 154 ] "630 [e = . *U _lp1 0 _lp2 ] [e :U 155 ] "631 [e ( _free (1 -> -> _lp3 `*uc `*v ] "632 [e $U 152 ] "633 [e :U 153 ] "634 [e = _lp1 _lp2 ] "635 [e = _lp2 . *U _lp2 0 ] "636 [e :U 150 ] "620 [e $ && != _lp2 -> -> 0 `i `*S7 || < -> . *U _lp2 2 `i -> . _lno 1 `i && == -> . *U _lp2 2 `i -> . _lno 1 `i <= -> . *U _lp2 1 `i -> . _lno 0 `i 151 ] [e :U 152 ] "637 [e $ ! != = _c ( _getnb .. -> 0 `i 156 ] "638 [v _alocline `(*S7 0 ef1`*uc ] [e = _lp3 ( _alocline (1 =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "639 [e = . *U _lp3 0 _lp2 ] "640 [e = . *U _lp3 2 . _lno 1 ] "641 [e = . *U _lp3 1 . _lno 0 ] "642 [e ( _strcpy (2 , &U . *U _lp3 3 -> _ctp `*Cuc ] "643 [e $ ! == _lp1 -> -> 0 `i `*S7 157 ] "644 [e = _linev _lp3 ] [e $U 158 ] "645 [e :U 157 ] "646 [e = . *U _lp1 0 _lp3 ] [e :U 158 ] "647 [e :U 156 ] "648 [e :UE 148 ] } "652 [v _getline `(i 1 ef2`*uc`*S1 ] { [v _cp `*uc 1 r1 ] [v _fp `*S1 1 r2 ] [e :U _getline ] [f ] "653 [v _c `i 1 A ] "655 [v _fgetc `(i 0 ef1`*S1 ] [e $U 160 ] [e :U 161 ] "656 [e = *U ++ _cp * -> -> 1 `i `x -> -> # `uc `i `x -> _c `uc ] [e :U 160 ] "655 [e $ && != = _c ( _fgetc (1 _fp -U -> 1 `i != -> _c `ui -> 10 `ui 161 ] [e :U 162 ] "657 [e $ ! == _c -U -> 1 `i 163 ] "658 [e ) -> 0 `i ] [e $UE 159 ] [e :U 163 ] "659 [e = *U _cp -> -> 0 `i `uc ] "660 [e ) -> 1 `i ] [e $UE 159 ] "661 [e :UE 159 ] } "665 [v _type `(v 1 ef ] { [e :U _type ] [f ] "666 [v _fmt `*uc 1 A ] "667 [v _c `i 1 A ] "668 [v F591 `uc -> 20 `i s fmtb ] "669 [v F592 `i 1 s ifmtb ] [i F592 -> 1 `i ] "670 [v _x `i 1 a ] [v _y `i 1 a ] "672 [e $ ! != F592 -> 0 `i 165 ] "673 [e ( _strcpy (2 , &U F591 :s 30C ] "674 [e -- F592 -> 1 `i ] "675 [e :U 165 ] "676 [e = _fmt &U F591 ] "677 [e $U 166 ] [e :U 167 ] "678 [e $ ! == -> _c `ui -> 37 `ui 169 ] "679 [e $ ! || || == = _c ( _getnb .. -> 0 `i == -> _c `ui -> 59 `ui == -> _c `ui -> 44 `ui 170 ] "680 [e ( _strcpy (2 , &U F591 :s 31C ] "681 [e = _fmt &U F591 ] "682 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "683 [e $U 166 ] "684 [e :U 170 ] "685 [v _getnum `(i 0 ef1`i ] [e = _x ( _getnum (1 _c ] "686 [e $ ! != -> ( _getnb .. `ui -> 46 `ui 171 ] "687 [e ( _diag (1 :s 32C ] [e :U 171 ] "688 [e = _y ( _getnum (1 ( _getnb .. ] "689 [v _sprintf `(i 0 e1v`*uc`*Cuc ] [e ( _sprintf (1 , , (. , &U F591 :s 33C _x _y ] "690 [e = _fmt &U F591 ] "691 [e $U 166 ] "692 [e :U 169 ] "693 [e $ ! == -> _c `ui -> 44 `ui 172 ] "694 [e $U 166 ] [e :U 172 ] "695 [e $ ! == -> _c `ui -> 33 `ui 173 ] "696 [e ( _fputc (2 , -> -> 10 `ui `i &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "697 [e $U 166 ] "698 [e :U 173 ] "699 [e $ ! == -> _c `ui -> 35 `ui 174 ] "700 [e ( _fputc (2 , -> -> 13 `ui `i &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] "701 [e $U 166 ] "702 [e :U 174 ] "703 [e $ ! == -> _c `ui -> 34 `ui 175 ] "704 [e $U 176 ] [e :U 177 ] "705 [e ( _fputc (2 , _c &U *U + &U __iob * -> -> -> 1 `i `ui `ux -> -> # `S1 `ui `ux ] [e :U 176 ] "704 [e $ && != -> = _c -> *U ++ _ctp * -> -> 1 `i `x -> -> # `uc `i `x `i `ui -> 0 `ui != -> _c `ui -> 34 `ui 177 ] [e :U 178 ] "706 [e $ ! == -> _c `ui -> 0 `ui 179 ] "707 [e ( _diag (1 :s 34C ] "708 [e $U 168 ] "709 [e :U 179 ] "710 [e $U 166 ] "711 [e :U 175 ] "712 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "713 [e ( _printf , (. -> _fmt `*Cuc ( _eval .. ] "714 [e :U 166 ] "677 [e $ && != = _c ( _getnb .. -> 0 `i != -> _c `ui -> 59 `ui 167 ] [e :U 168 ] "715 [e =- _ctp * -> -> 1 `i `x -> -> # `uc `i `x ] "716 [e :UE 164 ] } "719 [v _save `(v 1 ef2`*S8`*S1 ] { "721 [v _lnop `*S8 1 R1 ] [v _fp `*S1 1 r2 ] [e :U _save ] [f ] "722 [v _lno `S8 1 a ] "723 [v _lp `*S7 1 A ] "724 [v _tgroup `i 1 A ] [v _lgroup `i 1 A ] "726 [e $ ! == _lnop -> -> 0 `i `*S8 181 ] "727 [e = . _lno 2 -> 0 `i ] "728 [e = _lnop &U _lno ] "729 [e :U 181 ] "730 [e = _lp _linev ] "731 [e $ ! && != . *U _lnop 2 -> 3 `i != . *U _lnop 2 -> 0 `i 182 ] "732 [e $U 183 ] [e :U 184 ] "733 [e = _lp . *U _lp 0 ] [e :U 183 ] "732 [e $ && != _lp -> -> 0 `i `*S7 < -> . *U _lp 2 `i -> . *U _lnop 1 `i 184 ] [e :U 185 ] "734 [e $ ! || == _lp -> -> 0 `i `*S7 != -> . *U _lp 2 `i -> . *U _lnop 1 `i 186 ] "735 [e ( _diag (1 :s 35C ] [e :U 186 ] "736 [e $ ! == . *U _lnop 2 -> 2 `i 187 ] "737 [e $U 188 ] [e :U 189 ] "738 [e = _lp . *U _lp 0 ] [e :U 188 ] "737 [e $ && != _lp -> -> 0 `i `*S7 != -> . *U _lp 1 `i -> . *U _lnop 0 `i 189 ] [e :U 190 ] "739 [e $ ! == _lp -> -> 0 `i `*S7 191 ] "740 [e ( _diag (1 :s 36C ] [e :U 191 ] "741 [e :U 187 ] "742 [e :U 182 ] "743 [e $U 192 ] [e :U 193 ] "744 [v _putline `(v 0 ef2`*S7`*S1 ] [e ( _putline (2 , _lp _fp ] "745 [e $ ! == . *U _lnop 2 -> 2 `i 195 ] "746 [e $U 194 ] [e :U 195 ] "747 [e = _lgroup -> . *U _lp 2 `i ] "748 [e $ ! != = _lp . *U _lp 0 -> -> 0 `i `*S7 196 ] "749 [e = _tgroup -> . *U _lp 2 `i ] "750 [e $ ! && == . *U _lnop 2 -> 1 `i != _tgroup -> . *U _lnop 1 `i 197 ] "751 [e $U 194 ] [e :U 197 ] "752 [e $ ! != _tgroup _lgroup 198 ] "753 [e ( _fputc (2 , -> -> 10 `ui `i _fp ] [e :U 198 ] "754 [e :U 196 ] "755 [e :U 192 ] "743 [e $ != _lp -> -> 0 `i `*S7 193 ] [e :U 194 ] "756 [e :UE 180 ] } "759 [v _erasesyms `(v 1 ef ] { [e :U _erasesyms ] [f ] "760 [v _sp1 `*S9 1 A ] [v _sp2 `*S9 1 A ] "761 [v _i `i 1 a ] "763 [e = _i -> 0 `i ] [e $ < _i -> 255 `i 200 ] [e $U 201 ] [e :U 200 ] "764 [e = _sp1 *U + &U _symv * -> -> _i `ui `ux -> -> # `*S9 `ui `ux ] "765 [e = *U + &U _symv * -> -> _i `ui `ux -> -> # `*S9 `ui `ux -> -> 0 `i `*S9 ] "766 [e $U 203 ] [e :U 204 ] "767 [e = _sp2 . *U _sp1 0 ] "768 [e ( _free (1 -> -> _sp1 `*uc `*v ] "769 [e = _sp1 _sp2 ] "770 [e :U 203 ] "766 [e $ != _sp1 -> -> 0 `i `*S9 204 ] [e :U 205 ] "763 [e =+ _i -> 1 `i ] [e $ < _i -> 255 `i 200 ] [e :U 201 ] "772 [e :UE 199 ] } [a 6C 102 115 113 116 0 ] [a 32C 77 105 115 115 105 110 103 32 46 32 105 110 32 102 111 114 109 97 116 0 ] [a 2C 102 99 111 115 0 ] [a 7C 102 97 98 115 0 ] [a 9C 102 105 116 114 0 ] [a 18C 66 97 100 32 102 111 114 0 ] [a 29C 73 108 108 101 103 97 108 32 108 105 110 101 32 110 117 109 98 101 114 0 ] [a 25C 66 97 100 32 108 105 110 101 32 110 117 109 98 101 114 0 ] [a 3C 102 101 120 112 0 ] [a 27C 82 101 116 117 114 110 32 110 111 116 32 105 110 32 100 111 0 ] [a 5C 102 97 116 110 0 ] [a 1C 102 115 105 110 0 ] [a 8C 102 115 103 110 0 ] [a 20C ] [a 15C 77 105 115 115 105 110 103 32 61 32 115 105 103 110 0 ] [a 10C 102 114 97 110 0 ] [a 19C ] [a 13C 78 111 32 112 114 111 103 114 97 109 0 ] [a 24C 69 79 70 32 105 110 32 97 115 107 0 ] [a 22C 77 105 115 115 105 110 103 32 96 34 39 32 105 110 32 97 115 107 0 ] [a 17C 77 105 115 115 105 110 103 32 115 101 109 105 0 ] [a 28C 70 111 114 32 115 116 97 99 107 32 98 111 116 99 104 0 ] [a 4C 102 108 111 103 0 ] [a 33C 37 37 37 100 46 37 100 102 0 ] [a 30C 37 57 46 52 102 0 ] [a 34C 77 105 115 115 105 110 103 32 96 34 39 32 105 110 32 116 121 112 101 0 ] [a 14C 69 114 97 115 105 110 103 32 99 117 114 114 101 110 116 32 108 105 110 101 0 ] [a 31C 37 54 101 0 ] [a 35C ] [a 36C 76 105 110 101 32 110 111 116 32 102 111 117 110 100 0 ] [a 21C 73 108 108 101 103 97 108 32 99 111 109 109 97 110 100 0 ] [a 16C 77 105 115 115 105 110 103 32 99 111 109 109 97 0 ] [a 12C 94 67 0 ] [a 26C 79 117 116 32 111 102 32 115 112 97 99 101 32 40 99 111 110 116 114 111 108 32 115 116 97 99 107 41 0 ] [a 23C 58 32 0 ] [a 11C 78 111 32 109 101 109 111 114 121 32 102 111 114 32 37 115 10 0 ]